Mehmet Burak Aykenar
Mehmet Burak Aykenar
  • 259
  • 1 094 414

Відео

Susuz arazide sebze yetiştirme argesi - Ekimden 23 gün sonrası
Переглядів 18821 день тому
Domates biber salatalık çilek ekimi Damacana 5 lt pet şişe ile damlama sulama ar-ge çalışmaları Susuz arazide sebze yetiştirme
Susuz arazide sebze yetiştirme argesi - Ekimden 1 hafta sonrası
Переглядів 55521 день тому
Domates biber salatalık çilek ekimi Damacana 5 lt pet şişe ile damlama sulama ar-ge çalışmaları Susuz arazide sebze yetiştirme
Damacana ile Damlama Sulama - İlk Ekim - Domates | Biber | Salatalık | Çilek
Переглядів 1,1 тис.Місяць тому
Damacana ile Damlama Sulama - İlk Ekim - Domates | Biber | Salatalık | Çilek
Hobi Bahçesi veya Bahçe Almak İsteyenler İçin Uyarılar
Переглядів 427Місяць тому
Bu videoda kısaca bir bahçe ile ilgilenilmezse ne tür sorunlarla karşılaşılacağından bahsettim. - Sürekli ve her yıl büyüyen yabani ot problemi - Ağaçlara ve fidelere musallat olan zararlılar - Zirai don Bahçe almayı planlayanların bahçe ile uğraşmaları gerektiğini hatırlatmak amacıyla
ODTÜ Elektrik-Elektronik Mühendisliği Seminer: Sayısal Çip Tasarımı Son Durum - Mehmet Burak Aykenar
Переглядів 2,8 тис.2 місяці тому
ODTÜ Elektrik-Elektronik Mühendisliği Seminer? Sayısal Çip Tasarımı Son Durum - Mehmet Burak Aykenar 17 Nisan 2024 ODTÜ Elektrik-Elektronik Mühendisliği Bitirme Dersi Seminerlerinden
ÇİP TASARIMI - Ders 16: Caravel Örnek Uygulama - I2C_MASTER
Переглядів 6653 місяці тому
Bu derste Efabless firmasının, ucuz ve hızlı bir şekilde açık-kaynak yazılım araçları ve tasarımları kullanarak çip tasarlayıp ürettirmemizi sağlayan Caravel'e kendi tasarımımız olan i2c_master_top modülünü nasıl eklediğimizi gösterdik. Linkler: Efabless Playlist: ua-cam.com/play/PLZuGFJzpFksB83NFHbO_U5Uw3ufjbJ7ws.html Caravel User Project Repo: github.com/efabless/caravel_user_project Github S...
ÇİP TASARIMI - Ders 15: User Project Wrapper - Caravel Setup
Переглядів 5044 місяці тому
Bu derste Efabless firmasının, ucuz ve hızlı bir şekilde açık-kaynak yazılım araçları ve tasarımları kullanarak çip tasarlayıp ürettirmemizi sağlayan Caravel framework'ün kurulumu ve kendi tasarımımızı ekleyeceğimiz caravel user project github repodan bahsettik. Linkler: Efabless Playlist: ua-cam.com/play/PLZuGFJzpFksB83NFHbO_U5Uw3ufjbJ7ws.html Caravel User Project Repo: github.com/efabless/car...
ÇİP TASARIMI - Ders 14: Efabless Caravel Framework - 10000 Dolara Çip Üretimi
Переглядів 2,1 тис.4 місяці тому
Bu derste Efabless firmasının, ucuz ve hızlı bir şekilde açık-kaynak yazılım araçları ve tasarımları kullanarak çip tasarlayıp ürettirmemizi sağlayan Caravel framework'ten bahsettik. #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 13: GDS - KLAYOUT
Переглядів 6054 місяці тому
Bu derste i2c master top modülü için baştan sona Openlane flow gerçekleştirilerek fabrikaya gönderilebilecek GDS dosyaları üretilmiş ve sonrasında GDS layout üzerinde KLAYOUT programı ile analiz yapılmıştır. #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 12: Sign-Off
Переглядів 4384 місяці тому
Çip tasarım aşamalarında Place & Route sonrasında artık devre ile ilgili implementasyon aşamaları tamamlanmıştır. Çipin üretim sonrasında doğru çalışmasından emin olmak için daha önce functional verification ve timing verification yapılmıştı, sign-off aşamasında da physical verification yapılır. #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 11: Routing
Переглядів 1,1 тис.6 місяців тому
Openlane flow'da CTS sonrasında routing aşaması gerçekleşir. Routing aşamasında bütün logic cell'ler arasındaki bağlantılar (nets) gerçekleştirilir. Openlane uygulama #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
ÇİP TASARIMI - Ders 10: Clock Tree Synthesis (CTS)
Переглядів 7217 місяців тому
Openlane flow'da Placement sonrasında CTS aşaması gerçekleşir. CTS aşaması öncesinde tek bir pinden bütün registerları besleyen clock sinyali bufferlar eklenerek FF'lara dengeli şekilde dağıtılır ve clock skew elimine edilir. Openlane uygulama #vlsiprojects #vlsi #vlsidesign #technology yonga #teknoloji
Ankara Kızılcahamam Tahtalar Kanyon Doğa Gezisi | Hiking | Trekking
Переглядів 7748 місяців тому
Ankara Kızılcahamam Tahtalar Kanyon Doğa Gezisi | Hiking | Trekking #hiking #trekking Güzergah Nasıl gidilir Neler var Zorluk derecesi
ÇİP TASARIMI - Ders 9: Placement
Переглядів 8158 місяців тому
ÇİP TASARIMI - Ders 9: Placement
ÇİP TASARIMI - Ders 8: Floorplan
Переглядів 7788 місяців тому
ÇİP TASARIMI - Ders 8: Floorplan
ÇİP TASARIMI - Ders 7: Static Timing Analysis | Synopsys Design Constraints | Standard Delay Format
Переглядів 7059 місяців тому
ÇİP TASARIMI - Ders 7: Static Timing Analysis | Synopsys Design Constraints | Standard Delay Format
ÇİP TASARIMI - Ders 6: Synthesis - Netlist - Flatten - Openlane Uygulaması
Переглядів 8649 місяців тому
ÇİP TASARIMI - Ders 6: Synthesis - Netlist - Flatten - Openlane Uygulaması
ÇİP TASARIMI - Ders 5: Çip Tasarım Aşamaları Özet - Linter | Linting - Openlane Uygulaması
Переглядів 1,3 тис.9 місяців тому
ÇİP TASARIMI - Ders 5: Çip Tasarım Aşamaları Özet - Linter | Linting - Openlane Uygulaması
ZYNQ SoC HW/SW TASARIMI Ders17: Vivado'da Custom AXI-Stream IP Oluşturma Tutorial
Переглядів 90710 місяців тому
ZYNQ SoC HW/SW TASARIMI Ders17: Vivado'da Custom AXI-Stream IP Oluşturma Tutorial
ZYNQ SoC HW/SW TASARIMI Ders16: AXI-Stream Nedir? AXI-Stream FIFO ve ILA ile Örnek Uygulama
Переглядів 1,1 тис.11 місяців тому
ZYNQ SoC HW/SW TASARIMI Ders16: AXI-Stream Nedir? AXI-Stream FIFO ve ILA ile Örnek Uygulama
ZYNQ SoC HW/SW TASARIMI Ders15: DDR3 DRAM | OCM | BRAM - AXI CDMA Data Transfer Performansı
Переглядів 1 тис.11 місяців тому
ZYNQ SoC HW/SW TASARIMI Ders15: DDR3 DRAM | OCM | BRAM - AXI CDMA Data Transfer Performansı
Cuma Hutbesinde okunan duaların Arapça ve Türkçe anlamları, Müezzin ve İmam ne diyor? Kimse bilmiyor
Переглядів 5 тис.Рік тому
Cuma Hutbesinde okunan duaların Arapça ve Türkçe anlamları, Müezzin ve İmam ne diyor? Kimse bilmiyor
Kızılcahamam Kurumcu Yaylası ve Göletleri - Doğa Gezisi - Hiking Gezi Rehberi Video Kesitler
Переглядів 2 тис.Рік тому
Kızılcahamam Kurumcu Yaylası ve Göletleri - Doğa Gezisi - Hiking Gezi Rehberi Video Kesitler
Bilgisayar Mimarisi (Computer Architecture) Ders26: Önbellek Örnekleri | MCU | MPU | SoC
Переглядів 1,9 тис.Рік тому
Bilgisayar Mimarisi (Computer Architecture) Ders26: Önbellek Örnekleri | MCU | MPU | SoC
Bilgisayar Mimarisi (Computer Architecture) Ders25: Önbellekler | Direct-Mapped Cache
Переглядів 1,9 тис.Рік тому
Bilgisayar Mimarisi (Computer Architecture) Ders25: Önbellekler | Direct-Mapped Cache
Bilgisayar Mimarisi (Computer Architecture) Ders24: Memory Types - Memory Hierarchy in Computers
Переглядів 1,9 тис.Рік тому
Bilgisayar Mimarisi (Computer Architecture) Ders24: Memory Types - Memory Hierarchy in Computers
Gerçekçi ve diyetsiz Plank Challenge - 40 gün Plank yaptım - Kilo verebildim mi?
Переглядів 2,5 тис.Рік тому
Gerçekçi ve diyetsiz Plank Challenge - 40 gün Plank yaptım - Kilo verebildim mi?
Bilgisayar Mimarisi (Computer Architecture) Ders23: İşlemcide Pipeline Hazards
Переглядів 2,3 тис.Рік тому
Bilgisayar Mimarisi (Computer Architecture) Ders23: İşlemcide Pipeline Hazards
Bilgisayar Mimarisi (Computer Architecture) Ders22: İşlemcide Pipeline (Boruhattı)
Переглядів 2,6 тис.Рік тому
Bilgisayar Mimarisi (Computer Architecture) Ders22: İşlemcide Pipeline (Boruhattı)

КОМЕНТАРІ

  • @ademay8943
    @ademay8943 3 дні тому

    Hocam ağzınıza sağlık. Ama müezzin ayeti kerime okuyunca salavat getirmemiz gerekmiyor. Allah c.c. , sizde ona salat edin ayeti kerimesinde, her zaman salat edin diyor. Müezzin bu ayeti okuyunca salat edin demiyor.😊

  • @hsyngndz1985
    @hsyngndz1985 3 дні тому

    Hocam ucuz fpga arıyordum sana deng geldim gene

  • @mehmetqq7281
    @mehmetqq7281 4 дні тому

    başarılı uğraşmışsınız okadar

  • @hsyngndz1985
    @hsyngndz1985 5 днів тому

    süperrrrr sin hocam tam lazım olacak nokta atış konuları veriyorsun

  • @hsyngndz1985
    @hsyngndz1985 5 днів тому

    süper. hocam 3 yıl olmuş ama tr de sizin dişinizda pek bi video yok daha derin konularda dersler yapsanız aynı şekilde süper olur

  • @hsyngndz1985
    @hsyngndz1985 5 днів тому

    süper

  • @hsyngndz1985
    @hsyngndz1985 6 днів тому

    Axi protokoluyle haberleşen paket yapıp işlemciyle haberleştirseydik

  • @hsyngndz1985
    @hsyngndz1985 6 днів тому

    Hocam microblazede axi kendı paketimizi oluşturupnekliyecektik

    • @mehmetburakaykenar
      @mehmetburakaykenar 6 днів тому

      benim UA-cam kanalında Zynq oynatma listesi var orada yaptım o örnekleri

  • @gulsumyamanakharman9329
    @gulsumyamanakharman9329 6 днів тому

    Cok cok faydali bir video oldu benim icin. Allah razi olsun.

  • @ealains
    @ealains 7 днів тому

    hocam videoda bir sıkıntı yok ama ingilizce ile sorununuz ne anlayamadım :D ilk önce ingilizcesini söylüyorsunuz sonra türkçe okuyorsunuz en sonunda iki telaffuzu karıştırıyorsunuz vs.vs. biraz kararsızsınız :D

  • @Sogukhasanpasa
    @Sogukhasanpasa 7 днів тому

    Hocam sizce Ege Üniversitesi Makine Mühendisliği nasıl? Elektrik elektronik mi yoksa Makine mi?

  • @Sogukhasanpasa
    @Sogukhasanpasa 7 днів тому

    Hocam Ege Üniversitesi Makine Mühendisliği hakkında ne düşünüyorsunuz? Fikriniz çok önemli benim için ve sizce Makine mi elektrik elektronik mi?

    • @-Shadow__Rider-
      @-Shadow__Rider- 3 дні тому

      Makine veya elektrik-elektronik senin kendi yapman gereken bir tercih. Elektrik-elektronik konusunda yorum yapmayacağım ben makine mühendisliği okuyorum. Kendi bölümüm konusunda konuşacak olursam, genel anlamda zor olduğunu söyleyebilirim. Kısaca, sene boyu çalışmayı göze alarak gel, özellikle 2. ve 3. sene dersleri oldukça ağır oluyor.

    • @Sogukhasanpasa
      @Sogukhasanpasa 3 дні тому

      @@-Shadow__Rider- teşekkür ederim hocam peki Ege üniversitesi bu durumda nasıldır? Makine mühendisliği için soruyorum

    • @-Shadow__Rider-
      @-Shadow__Rider- 3 дні тому

      @@Sogukhasanpasa hocam ben Ege üniversitesinde okumuyorum ancak bana kalırsa İstanbul, Ankara ve de İzmir’deki köklü devlet okullarında makine mühendisliği okunabilir.

  • @mustafahekimoglu8991
    @mustafahekimoglu8991 7 днів тому

    B5

  • @mertozbayer897
    @mertozbayer897 8 днів тому

    Geçilmez su engeli dediğiniz yer sanırım Kral havuzu olarak geçiyor

  • @gladiatorrrr
    @gladiatorrrr 10 днів тому

    Hocam odtü ee okumak iyi bir secenek mi,baska bir seye mi yonelmeliyim. Suan elimdeki en iyi koz odtu gibi

  • @mehmet-mw2mz
    @mehmet-mw2mz 11 днів тому

    Hocam süpersiniz, ilk baştaki videolarınız sayesinde 2 günde vhdl yazabilir hale geldim. Süper bir tutorial serisi olmuş.

    • @mehmetburakaykenar
      @mehmetburakaykenar 11 днів тому

      2 gün bayağı iddialı olmuş 😅 başarılar dilerim

  • @zeyneptv4558
    @zeyneptv4558 13 днів тому

    Sağlık sektörü, Şampiyonlar ligidir.

  • @sefatekeli4285
    @sefatekeli4285 14 днів тому

    Şişeleri beyaza boyarsan daha iyi sonuç alabilirsin abi bir de etraftaki zararlı otlardan kurtulmak için diplerine kaynar su dökersen kök kısımları haşlanır onlardan kurtulursun toprağı da sulanmış olursun. Eğer suların içine bir paket kuru maya koyarsan daha iyi olur topraktan alamadığı vitamini desteklerin bitkilerin

  • @yok..
    @yok.. 14 днів тому

    Abim her alanda varız biiznillah diyor :D

  • @BatuhanDemir-sy9tk
    @BatuhanDemir-sy9tk 15 днів тому

    Merhabalar hocam ktü de makine mühendisliği okuyorum kulüplerde projelerde görev almayı düşünüyorum hazırlık sınıfını yeni bitirdim neler önerirsiniz

  • @BiqBanq
    @BiqBanq 15 днів тому

    Hocam, dersiniz çok sade ve anlasılırdı. Allah razı olsun, çok saolun.

  • @ahmetdiril824
    @ahmetdiril824 16 днів тому

    Nereye kuruyorsun hocam bahçeyi bostanı? Şöyle yazı gidilebilecek rakımı yüksek bir yerse komşu geleyim valla.

  • @thtj1017
    @thtj1017 16 днів тому

    Burak Bey merhabalar, yeni şirketinizle alakalı da bir video bekliyoruz :) Şirket kurmaktaki zorluklar, dikkat edilmesi gereken noktalar gibi. Merakla takip ediyoruz hocam sizi, saygılar.

    • @mehmetburakaykenar
      @mehmetburakaykenar 16 днів тому

      Merhaba, evet biraz zaman geçsin inşallah video çekerim, zor bir süreç gerçekten

  • @muhammedmuhammed7737
    @muhammedmuhammed7737 17 днів тому

    Beslenmeyi hiç bozmadan verilen iki kilonun yağdan olduğu kesin ,40 günde 2 kilo 200 günde 10 kilo eder ,zaten doğru olanda bu şekilde kilo vermek ,bir ayda 10 kilo verenleri çok gördük, ayrıca mesele sadece kilo vermemek olsa gerek plank yapanlarda kasik fıtığı gibi yirtilmalar gozukmez

  • @kamildoan
    @kamildoan 19 днів тому

    Harika bir kaynak uzun zamandir yazilim gelistiriyorum. Son zamanlarda low level kisimlar ilgimi cekmeye basladi. Mehmet hocamin harika bi anlatimi var.

  • @cengizvelimahmutoglu5486
    @cengizvelimahmutoglu5486 20 днів тому

    BORDO BULAMACI %1 KULLANIN SONUCU GÖRÜN BENCE.

  • @gokselyazc5660
    @gokselyazc5660 21 день тому

    Ben denedim hic birşeyolmadı

  • @aydoganahmet
    @aydoganahmet 21 день тому

    Hocam merhaba, teşekkürler öncelikle. Vivado tarafinda IP eklemeden SDK tarafinda baremetal çalışarak ethernet haberleşmesi mümkün müdür?

  • @alidemirci6576
    @alidemirci6576 23 дні тому

    Hocam kolay gelsin, ben salatalık yetiştirdim uzun süre, yetişene kadar aralıklarla sulayabilirsin lakin salatalık vermeye başladığında günlük sulanan gerekecek. (Salatalık %95 su) Acizane tavsiyem 3 tonluk bir depo koysan bahçenin en yüksek yerine, oradan damlama sulama kursan, bahcene gelmeme gerek kalmaz bile.

    • @alidemirci6576
      @alidemirci6576 23 дні тому

      Hatta sen uzaktan kontrol edilebilir bir vana eklersin( sen yaparsın :) ) her akşam 2 saat sulama yapar kapatirsin. Tabi akıl veren çok olur, icraat yapan az olur bizim memlekette, sen bana bakma, kolay gelsin hocam :)

  • @kamildoan
    @kamildoan 23 дні тому

    Bu aralar bende tarima asiri merak saldim. Bill Gates bile deli gibi toprak satin aliyor. Gelecek tarimda galiba :)

  • @emnadgzel
    @emnadgzel 25 днів тому

    Susuz veya az su ile evet bitki bir şekilde büyür. Fakat meyve verimi çok düşük olur. Ya meyvesi büyümez yada az sayıda meyve verir. Ben bunu test etmedim ama tahminimce bana mantıklı gelen; o bölgenin havasına suyuna alışkın tohumlar (susuz tarıma dayanıklı) olacak, su verilmiyorsa sık sık çapası yapılacak. Susuz tarımda maliyet az olduğu için geniş arazilere veya atıl arazilere ve uygun zamanda uygun tohumla ekim yapılır. Az mahsul alınsa bile üretim maliyeti az olacağı için kurtarıyor ve yapılıyor. Bir ceviz bahçesinde suyu çok veremeyen çiftçi sık sık çapalama yaparak (ilaç, gübre vs de atıyor)meyve verimini artırdığını söylemişti. Benimde kendi bahçem var bende henüz damlama yönetmiyle sulamaya geçemedim. salma su yöntemi yapıyorum. Meyve ağaçları veya tek dikilen sebzeler (salatalık, kabak vs.) bol bol çapa yapıyorum ve saman gibi kuru otları mevyvelerin ve ağaçların altına serpiyorum ki sıcaktan az etkilensin ve dibi gölge/nemli kalsın diye.. Su azsa çapalama ve ot serpme yapmanızı öneririm. Bitkinin dibi serin durur. Ben bahçemden aldığım tohumları kullanmaya gayret ediyorum. Sonuç çok başarılı oluyor. Önceki videolarınızda adam boyu diken var demişsiniz. Bunlar benim bahçemde de var. Buda bize gösteriyor ki orada yetişen orada tohumunu salan her yıl yeniden büyüyen ot adam boyuna ulaşabiliyor. Yani kısaca orada susuz tarım yapılabilir. Sizin diktiğiniz bitkilerde büyüyebilir. Benim bahçemde her yıl kendi kendine sağda solda büyüyen kabak, salatalık, semiz otu, patlıcan, karpuz, kavun vs. oluyor. Ben yılda bir çapa makinamla sürüyorum gübre kullanmıyorum.

    • @mehmetburakaykenar
      @mehmetburakaykenar 24 дні тому

      Yorumunuz için çok teşekkürler, ot koymak mantıklı geldi bunu yapacağım inşallah, ben ilk defa sebze ektim, bahçe de uzakta anca haftada ya da 2 haftada 1 uğrama şansım oluyor, bu yöntem ise yararsa ne âlâ, şimdi ağaçlar için de benzer şekilde yanlarına şişe gommeyi planlıyorum, çünkü onları da sulama şansım olmuyor onu de çekip yayınlayacağım inşallah

  • @zeynalunlu8568
    @zeynalunlu8568 25 днів тому

    Takip ediyoruz mehmet hocam

  • @cagrsarac6458
    @cagrsarac6458 25 днів тому

    Aybü tercih edecekler için: Bölümde 1-2 hoca dışında iyi hoca yok, ciddi olarak bir öğretim üyesi eksikliği var ve bu hissediliyor. Eğitimi yetersiz. Örnek olarak 1. sınıftaki programlama I ve II derslerinde bizim dönem ve sonraki dönem hiç ders işlemedi (0 ders) ve yönetimden kimse ses çıkarmadı. Birkaç yıl sonra bu sorun anca düzeltildi. Bunun gibi işler olabiliyor. Her şey random ilerliyor, herhangi bir düzen yok. Bazı sınavlarda rastgele puanlar dağıtılıyor ve bu çok net belli oluyor. Yeni tercih edeceklerin alan tercihi çok belli değildir ama sayısal tasarım alanında iyi bir okul. Bunun dışında mezunların köklü savunma sanayi firmalarında çalışma oranı da diğer üniversitelerden oldukça düşük. Okul genelinde ise sosyal olanaklar yok. Kampüs ortamı yok. Ulaşımı kolay denebilir, metro + otobüs ile ulaşabilirsiniz. Kısaca tercih şuan tercih döneminde olsam burayı listeye yazmazdım. Yine de tercih ederseniz, kendinizi kendi imkanlarınızla geliştirmeye bakın, üniversite eğitiminden çok bir şey beklemeyin. 2. sınıfta hangi hocaların sizin gelişiminizde faydası olacağını az çok anlarsınız ve o hocalardan size proje vermesini isteyin, sizi kırmazlar ve laboratuvar vb olanakları sağlarlar. Başarılar.

  • @hsyngndz1985
    @hsyngndz1985 26 днів тому

    hocam çok ingilizce terim kullanmışınız mantığını çözdüm ama ingilizce terimlerden tam anlayamadık anlattıklarınızı

  • @emreekin1795
    @emreekin1795 26 днів тому

    arap sabunu, zerdeçal ve tarçın karışımı yaprak bitlerine çözüm oluyor

  • @kingdomofghidz6927
    @kingdomofghidz6927 29 днів тому

    Oğuz Ergin'e inat sizden izliyorum hocam büyük hayranızım. El birliğiyle Oğuz Ergin hocaya ambargo 👏

  • @hsyngndz1985
    @hsyngndz1985 29 днів тому

    ders19 un konun son halı yok hocam udretlımı o

  • @oktaykose9263
    @oktaykose9263 Місяць тому

    Bire bir aynı hikaye içindeyiz, bizdeki fark eve kadar geliyor iki üç tanesi akşamın yedisinde dışarda yakalıyor bide sokuyor, artık nasıl bir Kin se o! Yarın sabah açacağım bu kızgın dostlarımızı bakalım başımıza bu kez neler gelecek!

  • @fundacrak5801
    @fundacrak5801 Місяць тому

    Evin içinde nektar yok ama napicaz ?

  • @gladiatorrrr
    @gladiatorrrr Місяць тому

    hocam yenisini çeker misiniz ücret algılımız gitti

  • @caglarkocer8758
    @caglarkocer8758 Місяць тому

    slug namlu ya bunun ile saçma atıp yakın mesafeden uçar av yapılamazmı

  • @wildilyuzburada3751
    @wildilyuzburada3751 Місяць тому

    levyeli tüfekler hangi ehliyete giriyor ?

  • @user-yz2ct2sy3l
    @user-yz2ct2sy3l Місяць тому

    1:06:20 :D

  • @user-yz2ct2sy3l
    @user-yz2ct2sy3l Місяць тому

    teşekkürler

  • @hammoodhabibi5942
    @hammoodhabibi5942 Місяць тому

    normalde mantıksal devre tasarımı videolarını izliyordum ilgimi çekti sohbet tadında elime çayımı alıp izledim, donanıma karşı bakış açımı güncelledim. FPGA hakkında kafamda genel bir fikir oluştu, zaten amaçta o değil mi? neyse elinize sağlık fpga serisi ilgimi çekerse oynatma listeniz vardı sanırım onada bir bakacağım saygılar

  • @hammoodhabibi5942
    @hammoodhabibi5942 Місяць тому

    hocam bir günün sonunda karno ile fonksiyonu yazma / sadeleştirme işlemini mantığıyla anladım, saygılar.

  • @insan2086
    @insan2086 Місяць тому

    Hocam lütfen seri devam etsin.

  • @yigitkucukbabuccu5587
    @yigitkucukbabuccu5587 Місяць тому

    8:38 hiç öyle düşünmedim.

  • @ibrahimhancer2200
    @ibrahimhancer2200 Місяць тому

    Hocam merhabalar. İnstagram yada bi iletişim numaranız var mı ? FPGA projem ile ilgili sizlere bir kaç sorum olacak.

  • @hammoodhabibi5942
    @hammoodhabibi5942 Місяць тому

    mekanı cennet olsun karno abimin