Це відео не доступне.
Перепрошуємо.

AXI Introduction Part 1: How AXI works and AXI-Lite transaction example

Поділитися
Вставка
  • Опубліковано 1 сер 2024
  • Hi, I'm Stacey, and in this video I discuss AXI!
    Here's part 2
    • AXI Introduction Part ...
    Github Code
    github.com/HDLForBeginners/Ex...
    Google form to give me your feedback:
    forms.gle/ssNwzTKiioj3RNHD9
    0:00 Introduction
    1:40 Difference between AXI Stream and AXI
    2:39 Basic Transaction overview
    4:15 Signal prefixes and naming convention
    4:45 Signal meaning overview
    9:28 AW example
    9:51 AXI Stream Rule recap
    11:50 W example
    13:29 B example
    13:50 AXI rule 1
    14:30 AR and R example
    14:50 Axi rule 2
    15:30 Final Notes
    16:39 Summary of all rules
    17:17 Outro
    Buy me a coffee to support my channel: www.buymeacoffee.com/fpgasfor...

КОМЕНТАРІ • 26

  • @FPGAsforBeginners
    @FPGAsforBeginners  Рік тому +9

    Hi All, thanks for your interest in another one of my videos! I was reminded on reddit to emphasise that the AW and W channel can both be written to at the same time, you don't need to write the address first and then the data. I do discuss it in more detail in part 2, but I realise the diagram here shows address before data, and that doesn't have to be the case.

  • @footballover01
    @footballover01 11 днів тому +1

    Thanks a lot!
    I love how data sounds like daughter, makes the video even more interesting :D

  • @ekbhatnagar
    @ekbhatnagar Рік тому

    Thanks was searching for a comprehensive example on it for a long time.

  • @AustinTronics
    @AustinTronics Рік тому +1

    Thank you for taking the time to make these!

  • @FrustrationCrustacean
    @FrustrationCrustacean Рік тому +2

    Thank you for making it a bit easier to get into hardware design

  • @raghavendraph8583
    @raghavendraph8583 2 місяці тому

    Thank you so much. This really helps boil it down to an easily digestable format.

  • @donaldkelly3016
    @donaldkelly3016 3 місяці тому

    You are wonderful!! This space is so underserviced, I am inspired and learning so much from contributors like you.

  • @slicer95
    @slicer95 Рік тому +1

    Thanks a lot for the video Stacey

  • @FPGA_Frontier
    @FPGA_Frontier Рік тому

    Thanks Stacey, keep making video for us.

  • @kortaffel
    @kortaffel Рік тому +2

    She's back! ~ 💖💖💖

  • @flytothesky1910
    @flytothesky1910 Рік тому +1

    Good to see you back :)

  • @Jonathan-ru9zl
    @Jonathan-ru9zl 9 місяців тому

    Thanks for the video! Keep up the good content💖

  • @rallymax2
    @rallymax2 4 місяці тому

    This was really great.

  • @jogeshsingh854
    @jogeshsingh854 Рік тому

    Quite insightful 😀😀😀😀

  • @user-hf6kh4xc5r
    @user-hf6kh4xc5r 10 місяців тому

    I learned a lot from this video.
    thank you very much. ^_^

  • @etherbladenet8123
    @etherbladenet8123 Рік тому

    Hi Stacey, great video, thank you. The only thing I think you should have mentioned is that the transactions diagram you shown at (0:09) is relating to AXI-full, not AXI-lite protocol.

  • @timsmith9942
    @timsmith9942 17 днів тому

    Great video! Do you have a video about your way of documenting verilog code by chance?)

  • @mutahargahaf7390
    @mutahargahaf7390 Рік тому +1

    😚😚😍😍🤍 Thanks for all videos , but please could you illustration the DMA and FFT (radixes 4) algorithm?

  • @MrBryanstaton
    @MrBryanstaton Рік тому

    I would appreciate if you did an spi_slave design using sclk as the clock edge. I know you would have to use block ram or a FIFO for handling a cross clock domain to the rest of the system. I rarely see this approach handled and would like to see how you would do it. Need is for the slow response time on cross domain clicking the spi cli and already running on slower fpga devices with 50MHz clock. The spi protocol can be very simplistic, very controlled usuage.

  • @jsk4051
    @jsk4051 6 місяців тому

    Hi Stacey, thank you so much for your videos. These are very helpful :) One quick question, so ultimately these AXI4-Lite interface essentially consists of multiple AXI streaming interfaces correct? Is that the right way to think about this? Essentially AXI Streaming interface is a building block for AXI4-Lite?

  • @angelg3986
    @angelg3986 5 місяців тому

    Stream interfaces are confusing: They say video is a stream, hence one should use stream DMA to transfer it, but i understand video as sequence of 2D frames. Each frame needs a buffer, it can't just leak as a sequence, so I think it should be memory-mapped...

  • @arjunraj8281
    @arjunraj8281 3 місяці тому

    how to build a axi4lite sram controller from this code can u give a tutorial

  • @mutahargahaf7390
    @mutahargahaf7390 Рік тому

    where is tb for axi lite design?

  • @dilaygorken2093
    @dilaygorken2093 9 місяців тому

    Hi! thanks for the video. Is there any way to us to reach that documents. Thanks

    • @FPGAsforBeginners
      @FPGAsforBeginners  9 місяців тому

      It's called the the AXI4 specification
      www.gstitt.ece.ufl.edu/courses/fall15/eel4720_5721/labs/refs/AXI4_specification.pdf