Verilog Tutorial 6 -- Blocking and Nonblocking Assignments

Поділитися
Вставка
  • Опубліковано 31 жов 2024

КОМЕНТАРІ • 38

  • @bhumikachaudhari5332
    @bhumikachaudhari5332 Рік тому +1

    Thanks a lot. EDA playground and your playlist are amazing!

  • @Foreverstudio0192
    @Foreverstudio0192 4 роки тому +4

    such an amazing video. You break things down very carefully and make sure to explain applications of each example. Thanks so much for this!

  • @senakawijayakoon
    @senakawijayakoon 9 років тому +4

    This video tutorial series is very helpful for beginners to Verilog. Your creation EDA playground is a great effort for Verilog users all around the world.Thank you very much for sharing your knowledge with others in free basis. Salute you

  • @Edaplayground_EPWave
    @Edaplayground_EPWave  11 років тому +6

    Recommend viewing in 720p quality or higher.

  • @britishideas
    @britishideas 6 років тому +4

    Thanks for the video, however I would like to have seen something on best practice on when to use each type in the real world.

  • @karmitpatel98
    @karmitpatel98 5 років тому +1

    Thank you for the video. Very well explained.

  • @sakis280276
    @sakis280276 7 років тому

    Thanks for taking the time to explain this, very helpful.
    Regards,
    Sakis

  • @bman7399
    @bman7399 2 роки тому

    Very helpful thanks!

  • @MilanKarakas
    @MilanKarakas 5 років тому +1

    Excellent learning experience. Just not sure why do you use "output reg [3:0] f, g, h" instead "output reg [2:0] f, g, h"?

    • @matthewtaylor9727
      @matthewtaylor9727 5 років тому +1

      (a very late reply) Victor has chosen to demonstrate using 4-bit wide nets and variables. I don't know why. Why do you think 3-bit?

  • @srividya1366
    @srividya1366 7 років тому +1

    your videos helped me a lot thank u so much sir

  • @yifeili1872
    @yifeili1872 2 роки тому

    thank you

  • @SpazzyMcGee1337
    @SpazzyMcGee1337 10 років тому

    I found this video helpful, thank you.

  • @AlJay0032
    @AlJay0032 Рік тому

    What happens when blocking and nonblocking assignments are intermixed?

    • @Edaplayground_EPWave
      @Edaplayground_EPWave  Рік тому

      I'm not sure what you are asking. Blocking and non-blocking assignments behave differently as the video and EDA Playground example show. There are strict about which to use where in an RTL design.

  • @funmath3835
    @funmath3835 3 роки тому

    he is a god

  • @praneethgude7581
    @praneethgude7581 6 років тому +1

    what is the use of ifdef ?

  • @gurubellisairam7967
    @gurubellisairam7967 6 років тому

    thank you fir eda

  • @orzAR26
    @orzAR26 3 роки тому +1

    How to make e work from 0
    When d is made to wait 15 ns

    • @Edaplayground_EPWave
      @Edaplayground_EPWave  3 роки тому

      Sorry. I don't understand your question.

    • @orzAR26
      @orzAR26 3 роки тому

      U made d start after 15 ns
      When u coded e to start after 5 ns
      But it started to proceed after 15+5=20ns
      How to make e to start from 5ns?

    • @Edaplayground_EPWave
      @Edaplayground_EPWave  3 роки тому

      @@orzAR26 I can't make it work with Icarus Verilog, but if you change to Riviera Pro and add "+define+NONBLOCKING" to the Compile Options, you will see (by looking at the code) that non blocking assignments are used and e does change at 5 ns, illustrating well the difference between blocking and non-blocking assignments.

  • @shayorshayorshayor
    @shayorshayorshayor Рік тому

    This was actually cofusing.

  • @kubavatmilan
    @kubavatmilan 6 років тому

    At 4;40 video time value of a should not be 'x'. at simulation time 0, a

    • @Edaplayground_EPWave
      @Edaplayground_EPWave  6 років тому +1

      Hi,
      The value of a is 1'bx on all the simulators on EDA Playground. However, this is NOT for the reason given in the video: the second assignment to a overwrites the first - there is no contention. Instead, at time 0, a initially has the value of 1'bx and the value of 1'bx+1 is 1'bx.
      Matthew

  • @debasishkar761
    @debasishkar761 7 років тому

    hardly can see the words in the screen

    • @Edaplayground_EPWave
      @Edaplayground_EPWave  7 років тому +1

      I don't know why that might be. It looks fine on my screen (when I make it full screen).
      Matthew

    • @instrumetricsystems5728
      @instrumetricsystems5728 7 років тому +1

      go to the video settings (the small gear icon, bottom-right corner of the video window ) and set it to 1080p HD

    • @LL-ue3ek
      @LL-ue3ek 2 роки тому

      Very difficult to see on my screen too, text is too small. But I pressed Ctrl and "-" key at the same time, it shrunk the surrounding and enlarged the video portion of the screen, and then I could see it clearly.

  • @TheShorterboy
    @TheShorterboy 6 років тому

    Ok awesome

  • @LL-ue3ek
    @LL-ue3ek 2 роки тому

    why did you use "dut dut", it may appear confusing to beginners. do they have to have the same name? can't it be "dut xyz"?

    • @Edaplayground_EPWave
      @Edaplayground_EPWave  2 роки тому

      I didn't write this code. I can see it could be confusing. They don't have to be the same name. The first name is the name of the module being instantiated (eg Intel i7); the second is the instance name (eg IC3).