The History of the Semiconductor Photomask

Поділитися
Вставка
  • Опубліковано 29 жов 2022
  • As a fundamental part of the lithography puzzle, the photomask has a fascinating history that goes all the way back to the very beginning.
    So in this video, we are going to look at this essential piece of the semiconductor manufacturing process from rubylith to EUV: The photomask.
    Links:
    - The Asianometry Newsletter: asianometry.com
    - Patreon: / asianometry
    - The Podcast: anchor.fm/asianometry
    - Twitter: / asianometry

КОМЕНТАРІ • 241

  • @BB-iq4su
    @BB-iq4su Рік тому +200

    So nostalgic. My first IC design, a silicon bipolar (gold doped) counter, using ruby in 1960s. Errors in ruby masks were search for for hours. Sign off was required by three engineers, test, production and design. My first design, just out of college, worked and beat the competition. They gave me a 30% raise! Each week I cashed my paycheck and carried the money in my pocket for a few days.....My last design was in the 2020s, an extremely low phase noise VCO in CMOS. Working in semiconductors was a fun trip. I miss the challenges and people.

    • @rkan2
      @rkan2 Рік тому +8

      You can always go Sam Zeloof :P

    • @alexa.davronov1537
      @alexa.davronov1537 Рік тому +3

      But why did you stop designing them?

    • @paulmuaddib451
      @paulmuaddib451 Рік тому +23

      @@alexa.davronov1537if he quit after 2020, it's because he retired...after approximately 60 years in the biz.

    • @stachowi
      @stachowi Рік тому +4

      you would be an interesting person to have dinner with, the stories you could tell!

    • @SeanMaisonneuve
      @SeanMaisonneuve 8 місяців тому +2

      Amazing comment. Enjoy your retirement. You deserve it!

  • @Waccoon
    @Waccoon Рік тому +169

    My dad used to work in R&D for this stuff back in the 70's while developing a gate library for a 5 micron process. Defects where high at first, so a lot of stuff was discarded. My dad brought home a collection of, er, "souvenirs". No Rubylith, of course, but I have a bunch of 10x and 100x Mylar reductions, and several original photomasks, some of which still have their pellicle intact (which is impressive, seeing how thin and fragile these protective layers are). One of my favorite things to do when I was a kid was look at this stuff and tons of reject wafers under a microscope.
    I didn't appreciate how privileged I was to have access to this kind of technology and use real Calma and Apollo systems at the GTE Student Workshop. At the time, I kinda figured this is what everyone's dad did at work. 8)

    • @diophantine1598
      @diophantine1598 Рік тому +1

      That's awesome. I wish there was a museum which had images of the designs of these chips. We may buy the CPU, but we will never know the beautiful designs that went into designing these processors.

  • @russell2952
    @russell2952 Рік тому +109

    This has got to be the best video on chip manufacturing. Every other video glosses over the creation of the photomasks as if it's trivial, but it's the key to the process.

    • @user-xj5xp6qz5g
      @user-xj5xp6qz5g 21 годину тому

      I still don't understand how they produce a stencil with billions of transistors on it

  • @campbellmorrison8540
    @campbellmorrison8540 Рік тому +162

    Yes I used to make rubylith masks for thick film printing and that was painful enough let alone trying to cut a chip design. It was quite satisfying when done but stressful until the last strip had been removed without tearing.

    • @Theoryofcatsndogs
      @Theoryofcatsndogs Рік тому

      did you use tapes to secure the film?

    • @campbellmorrison8540
      @campbellmorrison8540 Рік тому +9

      @@Theoryofcatsndogs To be honest it was such a long time ago Im not absolutly sure but Im pretty sure we did. I was cutting against A1 graph paper and that was stuck with tape to a light board so it would seem sensible have taped the rubylith but I know it was sensitive so it would have been masking tape not packing tape. The only reason for my hesitation is we did have a vacuum table too but I dont recall using that for this

    • @TheUmbrex
      @TheUmbrex Рік тому +1

      oh shit, didnt think about tearing ..the delicate balance of piercing just enough to peel and not enough to tear

  • @video99couk
    @video99couk Рік тому +30

    3:44 This has brought memories back of working on primitive GCA resist and developer track systems back in the 1980s. They were programmed via paper tape, and would literally blow the 4" wafers along a track from cassette to spinner to apply resist, then bake and on to another cassette. If a wafer covered in resist broke in the spinner it was a real mess to clean up. We didn't have a GCA stepper though, the wafers would go to a Perkin Elmer Micralign or a Canon mask aligner, or later steppers.

  • @coreliner8972
    @coreliner8972 Рік тому +13

    As always wonderful video.142x142 without any defect and that after passing multiple foup door openers, handling robots, heating chambers, ald units, etc is a feat in and of itself. There is always a particle, no matter which size, waiting around the corner to sneak in and ruin your day. You should consider making a video about the crazy tech behind ISO 1 cleanrooms and what it takes to keep them in check.

  • @omegapm4699
    @omegapm4699 Рік тому +67

    Thanks! You have a gift for making the potentially mundane ....entertaining. More of these would be welcome 😊

  • @mortenhattesen
    @mortenhattesen Рік тому +9

    Zwischennegativ (German) is a compound word, consisting of:
    1. Zwischen (en: in-between).
    2. Negative (en: negative).
    - which clearly describes its purpose being an intermediate scale negative.

  • @PetsoKamagaya
    @PetsoKamagaya Рік тому +4

    Did you know that initially ASML and Intel did not want a pellicle on the EUV mask? Back in 2009 or thereabouts, the EUV light source did not have enough power and therefore the stakeholders wanted to eliminate anything that would absorb the EUV light. The pellicle materials available at the time would absorb the EUV energy. The entire EUV litho chamber (wafer and reticle stage) has to be a vacuum so that the air won't absorb the EUV energy. To introduce the EUV reticle into the chamber, the reticle pass-through had to be pumped down to a vacuum, and therefore the reticle pod had to be all metal to keep the pumping time as short as possible. No pellicle, no polymer contact points on the reticle pod meant that the hard metal contacts gauged the reticle glass, causing particles on the non-pellicle EUV mask. So ASML decided to allow super tiny polymer contact points in the reticle pod to prevent such gauging. And eventually a very low absorption pellicle was developed and more powerful EUV light sources were realized. It was a lot of fun working on this stuff!

  • @StephenGillie
    @StephenGillie Рік тому +36

    In the early days of the chip shortage, I was confused because I understood only that the lithography process was very similar to shirt screen printing. But your other videos have helped me to learn how much more complicated it is to make modern chips.

    • @herrbonk3635
      @herrbonk3635 Рік тому

      What chip shortage? The current? There have been numerous for the last 50 years.

  • @CRneu
    @CRneu Рік тому +23

    Oh rad video. I work in CD-SEM right now, mostly with Hitachi tools, so this video is very on point for me. I've also worked directly with reticles/masks in relation to Nikon and ASML stepper tools and even opened up the reticle pods for inspection, so again this hits pretty close for me. I often recommend your videos to coworkers. Another great video!

  • @rydplrs71
    @rydplrs71 Рік тому +7

    Electrostatic chucks (esc’s) are very common in etch, implant, ash and pvd steps. Mechanical clamps went away during the 200mm years.
    When I started the mask making and inspection tools were still in the fab, but retired. I’ve run perkin elmer aligners up to DUV step and scan, with contact and proximity aligners and a bit with EUV along the way.
    Pellicles are important to repeatability, but when an expedite lot came along I personally went to shipping and repossessed a needed mask, ripped off the damaged pellicle and ran the product. Even a 50% yield loss was preferable to missing a shipping deadline. The focus shift was within tolerance for the layer.

    • @ntabile
      @ntabile Рік тому +1

      We remember resist overflow at the back of the wafer that caused e-clamping issue at our implanter with e- clamp type of chuck

    • @Martinit0
      @Martinit0 Місяць тому

      So masks with damaged pellicle were sent for repair?

  • @nickj2508
    @nickj2508 Рік тому +11

    15:34 the print area in use is 142x136. Some defects are acceptable in areas that can be obscured by the pattern, i.e. hidden under the carpet.

  • @mattychou
    @mattychou Рік тому +8

    Would you be able to talk about the company Lasertech in future videos? They seem to be dominant in photomask defect inspection equipment. Thanks a lot for all the great informative videos!

  • @KomradZX1989
    @KomradZX1989 Рік тому +15

    Oh if you put the work into a video about the Cambrian explosion like you do with all your others I’d watch it in a heartbeat ❤

  • @Pepsodent08
    @Pepsodent08 Рік тому +11

    While rubylith has been replaced with digital tools in screen printing, the photolithography process is mostly still there. Printers use exposure units to burn an image into a screen impregnated with emulsion. Wash out the uncured emulsion and force ink through the screen to make an image.

    • @TimPerfetto
      @TimPerfetto Рік тому +1

      What time is it? I don't know. Do you? No. Nobody does. Something might. Not possible to discuss a nothing. Not sure. No way of knowing. Hair? Yes. For now. No hair equals hair. Yes? Yes. No. Hair? Hair today not sure when. Transistors are smaller than hair. Sometimes? Two times. Three. Hair.

  • @Baronvonbadguy3
    @Baronvonbadguy3 Рік тому +4

    You know we want that defect detection video 💪

  • @RobertLBarnard
    @RobertLBarnard Рік тому +7

    I appreciate your videos so much!
    Having studied electrical engineering in the early and mid 1980's and upon starting my career as a test engineer (designing a building tests for a process control product line, plenty of analog and digital) it seemed the need for EE's was going overseas. Hence, I moved into supermini computers and learned the architecture of such things as ECL processors with such things as "burst pipeline architecture". Eventually I moved into Fintech, but kept my hand in the EE side, being able to visualize how virtualization typically offiscates the sources of nonperformant causes (because they happen on the actual/physical hardware).
    I sure appreciate catching up and learning a bit about this end of the technology stack.

    • @DanielCGCG
      @DanielCGCG Рік тому

      No need to flex so hard. Make a separate story time comment! I do want to hear more

  • @Mkoivuka
    @Mkoivuka Рік тому +3

    We used stereolithography to print 3D models of patient's heads using MRI scans in the 1980's and 90's =)
    Was a super cool tech at the time

  • @scottfranco1962
    @scottfranco1962 Рік тому +4

    IC masking and screen printing: Well, I think more accurate to say that these techniques were well known from the manufacture of printed circuit boards, which were in full swing at the time of the first ICs, and from there you get back to printing, both screen printing and lithography. Also, resists were in use before ICs, used to perform etching on metal, rock and other surfaces, which is very much a thing today. In fact, etching glass with acid, still done today, is almost a direct line to ICs, since silicon dioxide is basically glass.

  • @123zB3avisz123
    @123zB3avisz123 Рік тому

    You do a fantastic job in your explanations. Glad I found your channel last year!

  • @Sb_747
    @Sb_747 Рік тому +2

    It’s really amazing that making microchips is basically just figuring out how to make really really tiny mono color photos.

  • @alanparker3130
    @alanparker3130 Рік тому +2

    Hooray, we got the 5k upvotes, so looking forward to the follow-up on measuring and correcting defects.
    Nothing scratches my nerdy itch like Asianometry's YT channel.

  • @dwaynezilla
    @dwaynezilla Рік тому +1

    Now I want to see the Cambrian Explosion video you'd make

  • @leyasep5919
    @leyasep5919 Рік тому +2

    That's an amazing introduction to the field, I'm waiting for the follow-up !

  • @tyreni
    @tyreni Рік тому +1

    Another home run! Absolutely love your videos.

  • @El.Duder-ino
    @El.Duder-ino Рік тому

    Another excellent episode, support and growth of this channel is very logical and inevitable! Keep on doing great work!👏

  • @philippepanayotov9632
    @philippepanayotov9632 Рік тому

    Sir, thank you for making those videos so interesting and accessible.

  • @sahandn9
    @sahandn9 Рік тому +2

    Great video! Thanks for the effort you put in for making such high quality videos

  • @punditgi
    @punditgi Рік тому +8

    Another instructive video. I always learn a lot. 👍

  • @IamFlyingSpaghetti
    @IamFlyingSpaghetti Рік тому +3

    Stamp of approval (as all of your vids get) from a lil litho engineer me

  • @SuperCuriousFox
    @SuperCuriousFox Рік тому +3

    For those who work on MEMS and microfluidics contact aligners are still used a lot, because it’s easier to design custom (foil) masks. They often only use our ASML stepper to expose a zero layer with all their alignment markers.

  • @johnmoorefilm
    @johnmoorefilm Рік тому +2

    Sir , your videos are exceptional, thank you for being a wonderful decent human and putting so much hard work into helping others gain knowledge

  • @tommy2cents492
    @tommy2cents492 Рік тому +5

    Nice!!! I liked the early history part!
    But then there was a big jump, skipping over: migration from 5x to 4x masks, alternating phase shift masks and attenuated phase shifting masks before you got to the masks for EUV.
    Did you know that there have been investigations into maskless lithography? And direct write ebeam lithography?

    • @pizzablender
      @pizzablender Рік тому +1

      I remember that, E-beam litho. It was way too slow, as electrons repel when you have many of them together.

  • @JK-zx3go
    @JK-zx3go Рік тому +1

    I used to make the PCB traces up with roles of tape and roles of adhesive track pads.

  • @rnb250
    @rnb250 Рік тому +3

    A series of chip history would be great 🤙

  • @michaljurkovic
    @michaljurkovic Рік тому +1

    Great content, as always.
    Maybe you could do a follow-up video with leading edge mask writers (the dawn of VSB and birth of Multibeam mask writers), Mask inspections (CD measurements, Registration measurements, Defect inspection and Mask repair).

  • @pdsnpsnldlqnop3330
    @pdsnpsnldlqnop3330 Рік тому +1

    I like this new school teacher approach of asking a question requiring thought, then the patreonewsletter, then the time is up. John's assurance that he knows more than the kids in class steps up every week (as their minds get blown).

  • @diptree
    @diptree Рік тому +3

    I remember the rubylith mask making from my graduate school days in a microelectronics and VLSI research laboratory in the 80's. Things have really moved quite a bit since those early days. Things have become so increasingly 'hi-tech' that setting up a plant can cost up to double digit billions of dollars.

  • @jacob_90s
    @jacob_90s Рік тому +1

    Yes! Been waiting for this one for a long time

  • @roberth9814
    @roberth9814 5 місяців тому +1

    "I do love how early semiconductor people were just borrowing here-and-there from all sorts of different industries." Or as Werner Herzog said: "We are not garbage collectors. We are filmmakers. We are thieves. We get away with loot from the most beautiful or the most scary."

  • @johnnason7019
    @johnnason7019 Рік тому +16

    I grew up in a sign shop my parents owned in the 90's and we used Rubylith to make silk screens, I had no idea it was used for semiconductors.

    • @nexusyang4832
      @nexusyang4832 Рік тому +10

      One man’s tshirt is another company’s gpu design. 🤣

    • @brodriguez11000
      @brodriguez11000 Рік тому +7

      Might come full circle once people start integrating electronics into clothing.

    • @nexusyang4832
      @nexusyang4832 Рік тому +2

      @@brodriguez11000 they are now...the market is called wearables. :)

  • @diegoantoniorosariopalomin2206

    day 2 of asking Asianometry to cover nanoimprint, complementary electrom beam litography or other alternatives to EUV

    • @diegoantoniorosariopalomin2206
      @diegoantoniorosariopalomin2206 Рік тому

      Fun fact, while researching the topic i found that inmersion litography can be done with solids, so duv could be extended even more than it already has

    • @andersjjensen
      @andersjjensen Рік тому +2

      None of those are alternatives to EUV. They can be used to make chips both cheaper and faster than EUV. But if you require the feature size of EUV lithography there are no alternatives.

    • @diegorosario2040
      @diegorosario2040 Рік тому

      @@andersjjensen nanoimprint litography and electrons beams can be used for smaller features, specially the latter. However they are untested for high end processes

  • @versuch4574
    @versuch4574 Рік тому +1

    Looking forward to the follow up video on EUV Defectivity!

  • @Maleko48
    @Maleko48 Рік тому

    I want to see the video on defects and their corrections next for sure!

  • @MostlyPennyCat
    @MostlyPennyCat 8 місяців тому +1

    10:47 i think that image more accurately represents the Ediacaran period rather than the Cambrian.
    Or pre-Cambrian if you want to call it that

  • @arnaudbresson5620
    @arnaudbresson5620 Рік тому

    Great !
    I remember years ago reading exactly the same extract from the book about Commodore and always wanted to see images of manually drawn 500x mask precursors but was never able to find the appropriate keywords to google it successfully.
    Thank you !

  • @joetkeshub
    @joetkeshub Рік тому

    Great job! Thank you!

  • @teddyshapedsoap
    @teddyshapedsoap Рік тому

    Incredibly interesting video as always!

  • @charlespax
    @charlespax Рік тому +1

    Please do more!

  • @john_in_phoenix
    @john_in_phoenix Рік тому +3

    If you want to make a video about the Cambrian explosion, I for one would gladly watch it.

  • @tykjpelk
    @tykjpelk Рік тому

    Awesome, thank you for making this!

  • @hughmungusbungusfungus4618
    @hughmungusbungusfungus4618 Рік тому +1

    Excellent video!

  • @jamesbanq3660
    @jamesbanq3660 Рік тому

    Gosh I love this channel

  • @tombouie
    @tombouie Рік тому +3

    Thks & I kinda followed the cool domain jargon but still half was over-my-head.
    Request you do a chip-stuff for dummies like-me playlist w/o all the cool domain jargon.

  • @donaldklopper
    @donaldklopper Рік тому +1

    Maaaeeehhsks? At 13:00. Was that on purpose? Awesome history lesson. I worked somewhat in the printing industry, avid photography noob, and am getting into printed circuit boards now. Love your content.

  • @bok..
    @bok.. Рік тому +1

    Please do make a video on the Cambrian explosion. I know it's not really the genre you do but honestly it's a very interesting time on earth.

  • @VioletPrism
    @VioletPrism Рік тому

    Love your videos so much!!!

  • @johnjacobjinglehimerschmid3555

    Thanks for the many fabrication videos that you've produced. I loved the time I worked in the industry and you bring explanations that I didn't know even after 20 years.

  • @chefnyc
    @chefnyc Рік тому +2

    Maybe time to rename the channel to “Semiconductors and other stuff”. I get super excited when one of these videos come out. Nothing wrong with how different countries messed up land reform or high tech industries..

  • @sandman0123
    @sandman0123 Рік тому

    Thanks for another great video! (Cambrian explosion - yes please!)
    Rubylith!! I mentioned it at work the other day and except one "mature" engineer, nobody new what it was. 😆
    While at uni, I caught the very end of the rubylith age. By that time, it was only used for thin film hybrid integrated circuits, to help create the conductive pattern on glass or ceramic (I think... We used glass). By the time I started work, I never heard rubylith mentioned.
    I liked the colour though. Very ruby! 😀

  • @sashimanu
    @sashimanu Рік тому +4

    14:50 chromium nitride (a tough, wear resistant compound, like many other metal nitirdes) is confused with chromium nitrate (a meh water soluble salt)

  • @vote4jellodk
    @vote4jellodk Рік тому +1

    It’s funny how screen printing is still an important step in the semiconductor industry with the use of stencils for printing solder paste onto bare PCBs.

  • @daedalus_00
    @daedalus_00 Рік тому +1

    You should do a video on the Cambrian Explosion.

  • @lancerudy9934
    @lancerudy9934 6 місяців тому

    Great video thanks

  • @misterdeedeedee
    @misterdeedeedee Рік тому +1

    a chuck in industry and machining is a tool used to hold other tools like drill bits and end mills or the work itself like on a lathe, so an electrostatic chuck is just a work holding tool that holds via electrostatics rather than physical or magnetic clamping that is used in the macro scale.

  • @Sir_Uncle_Ned
    @Sir_Uncle_Ned Рік тому +2

    Nowadays the cutting-edge stuff is nearing 4 NANOmetre-sized elements. That's 4/1000ths of a micrometre - And they keep getting smaller. We're already experiencing issues with electrons wandering through a switched-off transistor thanks to Quantum Tunneling, but somehow that is worked around and size keeps shrinking. Before too long we could be working with elements measured by individual atoms.

  • @enilenis
    @enilenis Рік тому

    Amazingly interesting. I do "human-size" board design, and yet I know almost nothing about high precision lithography. Love videos like these.

  • @NikolausUndRupprecht
    @NikolausUndRupprecht Рік тому +1

    6:19 I stopped to read what the (stock footage) printing plate said: The text is truncated in all directions. However, it appears to be a summary of someone's biography who lived approximately 100 years ago visited school, joined the Army during the First World War, survived and completed school afterwards. Apparently, this person grew up near Trier and later moved to Essen.

  • @AVUREDUES54
    @AVUREDUES54 Рік тому

    Yooooo
    this is posolutely s-tier content

  • @arandomperson8336
    @arandomperson8336 Рік тому +1

    14:19 If there's more than 2 zeroes it's best to go to scientific notation or use some other well-understood shorthand (like ppb)

  • @abhijitbk9985
    @abhijitbk9985 6 місяців тому

    Thanks!

  • @JohnDoe-yq9ml
    @JohnDoe-yq9ml Рік тому

    Amazing video, this has to be one of the top videos to ever be made I. This subject. Bar none. Great work. I’ve been waiting for this video for a long time from you.

  • @clintcowan9424
    @clintcowan9424 Рік тому

    Incredible

  • @nickj2508
    @nickj2508 Рік тому +2

    @13:29 the print area is 142x142 the total area is 152x152mm, AKA "6" inch mask, 1/4 inch thick.

    • @tommy2cents492
      @tommy2cents492 Рік тому

      As far as I know, at 1x the largest image field that can be exposed on a scanner is 26 x 33 mm (rectangular).

    • @michaljurkovic
      @michaljurkovic Рік тому

      @@tommy2cents492 That's after 4x reduction in scanner. 26x33 mm *4 in all dimensions = 132 * 104 mm for the main device layout on the photomask. The remaining area can be covered with Labels, test patterns, markers, barcodes, etc.

  • @jacobmalkin2612
    @jacobmalkin2612 Рік тому

    Please make a video about the Cambrian explosion!

  • @kentswan3230
    @kentswan3230 Рік тому +1

    My first experience in chip making was in the mid to late 70's when I worked for (Milgo->ICC-> Racal-Milgo)'s and their development of the 24LSI and subsequently the 9600 LSI modems. The custom chip(s) in these were fabricated using Rubylith masks.

  • @root_pierre
    @root_pierre Рік тому +2

    It's even better to think about screen printing techniques being used to create chip tech that ultimately killed most paper-based media that gave birth to it. Sounds like a perfect Greek tragedy adaptation to me.

  • @rb8049
    @rb8049 Рік тому +4

    I’ve done Rubylith! Wish I had the ability to just send data and receive a mask back then. No, all the reduction steps and chemical steps…

  • @rayoflight62
    @rayoflight62 Рік тому +2

    IC masking is more dark magic than high-tech machinery.
    Thank you for this video...

  • @mohamedaboelenein7727
    @mohamedaboelenein7727 Рік тому

    Please make a video about Cambrian explosion!

  • @GovernmentFails
    @GovernmentFails Рік тому

    you should make a video about the cambrian explosion

  • @JayAntoney
    @JayAntoney Рік тому

    Please make the video on euv repair/eco system

  • @AkumaQiu
    @AkumaQiu Рік тому

    You channel is epic af

  • @LydellAaron
    @LydellAaron Рік тому

    2:37 is an intriguing connection.

  • @jeffskent
    @jeffskent Рік тому +4

    I'm halfway through Chris Miller's book Chip War at the EUV chapter. In light of the recent Chip Act and the executive orders regarding the sale of chips and chip making equipment to China by the Biden White House, how about a video explaining how these recent developments effect the chip industry as a whole and China in particular?

    • @chefnyc
      @chefnyc Рік тому +1

      Or how ASML or TSMC are investing in US. I see bunch of US job openings lately.

    • @pdsnpsnldlqnop3330
      @pdsnpsnldlqnop3330 Рік тому +1

      Sadly comment moderation would not allow me to honestly write my feelings towards these politicians and the sinophobia they incite.

  • @cosmiceon
    @cosmiceon Рік тому +2

    @asianometry Please make a video about the Cambrian explosion

  • @macdiamo
    @macdiamo Рік тому

    Nice videos, but can you do anything concrete about the chip shortage? You seem to benefit from so much knowledge..clearly you are ahead of us! :)

  • @robertpearson8546
    @robertpearson8546 5 місяців тому

    What about the 1979 Fujitsu X-Ray Chlorine etching? The X-Ray is tuned to the energy needed to disassociate the chlorine molecule. Thus where the mask allows the laser to pass, the chlorine ions etch the substrate, eliminating the resist. Coupled with the X-ray mirrors, the X-ray laser intensity can be high.

  • @hankhulator5007
    @hankhulator5007 Рік тому

    Hi Asian, please tell Onometry she got it wrong about the 6 PPM @14:10 , as PPM always refers to the full base unit, hence 6 PPM ( of a _meter_ ) is : 0.000006 mm (six millionths of a millimeter = 6 micrometres = 6 µm). That is odd, as PPM is very rarely used for lengths.

  • @R3tr0V3rt1g0
    @R3tr0V3rt1g0 Рік тому

    Have you considered doing a video on the Iranian or Turkish semiconductor industry?

  • @ByWire-yk8eh
    @ByWire-yk8eh Рік тому +1

    In 1970, I took an engineering class in integrated circuits, and we had a tiny IC lab. Each team had an IC project, and my lab partner and I started to design and build a two transistor flip-flop. We used rubylith to make the masks. As I recall, our rubylith material was clear palstic sheeting with a layer of red film on top. There was nothing photographic about it. The machine to cut the pattern was as you describe, and one interesting detail was that the cutter had to be manually aligned to the direction of the cut (up/down left/right). If you had the cutter set incorrectly, you would either ruin the rubylith pattern or break the cutter. Anyway, we did'n't finish the project, but we made all the masks and started a few diffusions. It was a great course, and I still have a few of the little masks (about 1.5 inches square).

  • @ntabile
    @ntabile Рік тому

    Resist coating that has not been stripped well is the "enemy" of Implant as too much outgassing is experience in the early days of wafer manufacturing. We will just see the chamber and the surrounding area as full of resists by products that cause potential wafer scrappage due to particle during implant. Wafer patterns are scratched in a batch processing type of implant.

  • @taiwanluthiers
    @taiwanluthiers Рік тому

    No wonder TSMC engineers get paid big bucks... I mean at its core it's basically silk screen printing that anyone can do in their garage but it's so precise.

  • @helmut666kohl
    @helmut666kohl Рік тому

    awesome

  • @andersjjensen
    @andersjjensen Рік тому +2

    It was not specifically mentioned if TSMC also makes EUV masks? Or "develops" them at least (to use a photo analogy), as I'm sure they're not trying to make the reticle mirrors from scratch.

    • @michaljurkovic
      @michaljurkovic Рік тому +1

      Do you mean printing the EUV masks, or manufacturing the EUV masks? As mentioned in video, TSMC has their own maskshop for printing of the masks. From manufacturing point, there are few players on the field, but one company owns almost entire market of EUV masks (and I think the company was not mentioned in this video).

    • @andersjjensen
      @andersjjensen Рік тому

      @@michaljurkovic I meant the printing part.

  • @richteffekt
    @richteffekt Рік тому +1

    Try "Tsvishen-Negateef" that should sound about right.

    • @richteffekt
      @richteffekt Рік тому

      It just means intermed- or in-between negative.

  • @tpcdude
    @tpcdude Рік тому +4

    At Intel in the 70's we always put 4 "test coupons" on the edges of each wafer and during the process we tested their function with probes and if they all didn't work we would shitcan the wafer to keep from packaging crap circuits ... wonder if they still have to do that today?

    • @tommy2cents492
      @tommy2cents492 Рік тому +2

      I don't think so. Wafers need to be extremely uniform throughout all the processing steps. Having dedicated coupons would break that uniformity and may lead to performance issues in adjacent dies.
      The amount of wafer metrology has exploded over the last decades to monitor all processing steps. I think that information is used to predict final yield. Being a 'yield engineer' is not easy...

    • @herrbonk3635
      @herrbonk3635 Рік тому

      So the ICs were not tested before bonding? But when already placed in a DIL package?

    • @tpcdude
      @tpcdude Рік тому

      @@herrbonk3635 No tested even before dicing up the wafer using super find probes adjusted for the coupon circuit.

    • @herrbonk3635
      @herrbonk3635 Рік тому

      @@tpcdude Yes, that's what you said. But I meant the other normal ICs. If they were tested before bonding and packaging what would "packaging crap" mean?

    • @alexhajnal107
      @alexhajnal107 7 місяців тому

      What is done is putting test circuitry, test points, etc. in the area between the dice. Once a wafer has been fully fabricated all the dice on the wafer are tested and any defective ones are marked. The test circuitry ends up being destroyed when the wafer is sliced.

  • @minimalmo
    @minimalmo Рік тому

    Quite funny pronunciation of "Zwischennegativ" xD
    Greetings from Germany!

  • @johngordon1175
    @johngordon1175 8 місяців тому

    Technology now exists when it didn’t exist before.