The Gate-All-Around Transistor is Coming

Поділитися
Вставка
  • Опубліковано 25 лют 2024
  • Links:
    - The Asianometry Newsletter: www.asianometry.com
    - Patreon: / asianometry
    - Threads: www.threads.net/@asianometry
    - Twitter: / asianometry

КОМЕНТАРІ • 521

  • @alexlowe2054
    @alexlowe2054 2 місяці тому +429

    It's surreal to see things that I remember as proof of concept research papers start to enter mainstream production.

    • @itissatno
      @itissatno 2 місяці тому +8

      Do you remember how long that is? Curious :)

    • @codejunki567
      @codejunki567 2 місяці тому +37

      @@itissatno 15 years ago when I started building PCs, and the first core i7 came out, they talked about these types of processes.
      Its actually real now.

    • @matttzzz2
      @matttzzz2 2 місяці тому

      Like what exactly? Why are you so vague?

    • @elliotfitzgerald859
      @elliotfitzgerald859 2 місяці тому +7

      @@matttzzz2 i like the part where you ask this , after he already posted a reply to someone else answering that question.

    • @gewdvibes
      @gewdvibes 2 місяці тому +12

      @@matttzzz2like the topic of the video you’re on????? Weirdo

  • @someguydino6770
    @someguydino6770 2 місяці тому +437

    this channel is always a source and never a drain

    • @swlak516
      @swlak516 2 місяці тому +5

      Lol

    • @JimBeshears
      @JimBeshears 2 місяці тому +9

      I see what you did there........

    • @Calamity_Jack
      @Calamity_Jack 2 місяці тому +9

      And yet, it switches me on...

    • @idiotburns
      @idiotburns 2 місяці тому +7

      massive potential

    • @adamdymke8004
      @adamdymke8004 2 місяці тому +1

      Our chips overflow.

  • @simonhanlon7518
    @simonhanlon7518 2 місяці тому +212

    My Father used to work for GEC when they were making the first germanium transistors. He said they would use car headlamps as a heat source to attach the legs to the Germanium wafer. He kept a load of the prototypes that had exceptionally high gain.......I tested some the other day and they are still good 69 years later.

    • @warpspeedscp
      @warpspeedscp 2 місяці тому +15

      Now that, that is history right there. Do yoy have any pictures? You should perhaps post a video demoing one.

    • @simonhanlon7518
      @simonhanlon7518 2 місяці тому +17

      @@warpspeedscp I could do, an hfe of 163 is quite impressive. I have quite a few slides from back then as well.

    • @phil9064
      @phil9064 2 місяці тому +3

      Nice

    • @foe11191969
      @foe11191969 2 місяці тому +5

      Now THAT's a great story.

    • @plumbthumbs9584
      @plumbthumbs9584 2 місяці тому +8

      so, your father commanded legions in Germanium.
      son of Maximums detected.

  • @ThioJoe
    @ThioJoe 2 місяці тому +1054

    You know you've done a good job with your videos when I saw the title and actually knew what it meant, and was actually excited 😂

  • @bluegizmo1983
    @bluegizmo1983 2 місяці тому +107

    What do transistors and professional athletes have in common? They both get their strengths from doping

  • @ragnarok7976
    @ragnarok7976 2 місяці тому +222

    Also know as: damn-thats-a-long-name-FET

  • @teekanne15
    @teekanne15 2 місяці тому +52

    I like how you uses pauses that gives the viewer time to digest the heard and think about it.

  • @johnmiller4859
    @johnmiller4859 2 місяці тому +144

    I have learned more about fab processes from your channel than I did getting an electrical engineering degree.

    • @ruffianeo3418
      @ruffianeo3418 2 місяці тому +14

      When I studied, around 1992, the professor said, that replacing analog, chemical photography with digital cameras was impossible. It would require at least 8 Megapixel! Unimaginable at the time...
      In this industry, you are out of date every 6 months, so it appears.
      Others back then were positive, that the first 33MHz PCs (was it 286?) would never work, because it is just too high a frequency...
      And today, they still have some wiggle room left to improve electronic circuitry and the production process. But also that will end, rather sooner than later. I wonder what will then come next... Me personally, I have no idea. Nonlinear optics? Biotech? Maybe asianometry could enlighten us, how the non-electronical future might look like.

    • @its_jjk
      @its_jjk 2 місяці тому +5

      Why would you learn about fab processes when getting an electrical engineering degree?

    • @OgbondSandvol
      @OgbondSandvol 2 місяці тому

      ​@neo3418 The first 33 MHz processor was i486. There was a 33 MHz i386, too (AMD took it further with 386DX40 MHz).
      Intel's fastest 286 was 12.5 MHz. After launching i386, Intel moved all its atention to it, and Intel didn't allowed that second source partners could make the chip. So the second source partners, stuck with the 16-bit 80286, had no other option than develop the chip clock. The fastest 286 achieve 25 MHz - made by Harris. Most 286 motherboards topped at 20MHz, due to the scarcity of higher speed chipsets. There's an additional problem about that, because AT(ISA) bus runs at 10 MHz max, so the chipset has to decouple the bus from the processor.

    • @ruffianeo3418
      @ruffianeo3418 2 місяці тому +11

      @@its_jjk Was communications engineering - a specialized electrical engineering course. And it was a class about how integrated circuits are being made and how they work. We also had other peripheral classes like statistics and whatnot.

    • @organicfarm5524
      @organicfarm5524 2 місяці тому +9

      ​@@its_jjkbecause achieving design characteristics of a device/component depends heavily on how you fabricate it...And an EE student is meant to learn about designing physical aspects of transistors.

  • @Roboss_Is_Alive
    @Roboss_Is_Alive 2 місяці тому +179

    MOM MOM ASIANOMETREY MADE ANOTHER TRANSISTOR VIDEO, GRAB THE POPCORN

    • @brodriguez11000
      @brodriguez11000 2 місяці тому +9

      We do vest a lot in controlling electrons. Maybe it'll be photons turn next?

    • @michaelfox3259
      @michaelfox3259 2 місяці тому

      @@brodriguez11000exactly

    • @kayakMike1000
      @kayakMike1000 2 місяці тому +10

      ​@@brodriguez11000 photonic control likely requires completely different materials. So much of this is material science that needs to be "compatible" with lithography techniques.

    • @SystemsMedicine
      @SystemsMedicine 2 місяці тому +4

      @@kayakMike1000Hi KayakMike. There has been a major photonics on silicon effort distributed across multiple universities for some years now. They are understandably decades behind transistors along multiple metrics, but they benefit greatly from all the silicon technology + AI. Time will tell how ubiquitous this becomes.

    • @msergio0293
      @msergio0293 2 місяці тому +2

      Let me correct that for you: Babe wake up, new asianometry transistor video just dropped

  • @michaelmoorrees3585
    @michaelmoorrees3585 2 місяці тому +40

    2nm ! Damn, I got my EE degree less than a year before the 1um barrier was broken, back in 1985.

    • @royalwins2030
      @royalwins2030 2 місяці тому +12

      We stand on the shoulders of giants

    • @JonS
      @JonS 2 місяці тому

      The first chip I designed as a post-grad was in a 0.7um process node.

  • @Vermilicious
    @Vermilicious 2 місяці тому +51

    You just have to appreciate all the scientific research done in decades past to make these advances possible only now. Also, I think an increase in competition in this space will further the progress made, and also reduce the risk of losing production capacity due to various outside factors. Semiconductors are vital to modern life and society.

  • @Mis73rRand0m
    @Mis73rRand0m 2 місяці тому +90

    I am in an Automotive Tool group on social media and they were questioning Taiwan tool quality... I can't believe I had to remind them how the small country makes the highest precision instruments the world has ever known. Arguably the greatest human endeavors come out of Taiwan, akin to the space program and CERN.

    • @szurketaltos2693
      @szurketaltos2693 2 місяці тому +36

      True, but that doesn't logically mean that the same precision applies to all Taiwanese manufacturing. Gearwrench is pretty good, but generic Taiwanese tools vary.

    • @dadrising6464
      @dadrising6464 2 місяці тому +19

      ​@@szurketaltos2693 exactly. China has a functioning space station (amd an imptessive one at that), yet half their roads/buildings are collapsing, an they are known for cheap crap.

    • @lucasglowacki4683
      @lucasglowacki4683 2 місяці тому +26

      To be fair…the precision instruments come from Holland and mirrors from Germany…

    • @verigumetin4291
      @verigumetin4291 2 місяці тому +12

      @@szurketaltos2693 are you saying I shouldn't blindly follow the patterns my brain sees?

    • @michaelotoole1807
      @michaelotoole1807 2 місяці тому +6

      @@dadrising6464 china's space station just looks like an empty shoebox in orbit. they must nee a deck of cards to keep themselves occupied. compare it to the international space station that has all types of equipment absolutely everywhere for tests and experiments.

  • @dante7228
    @dante7228 2 місяці тому +76

    I really wonder why I subscribed this channel with all this in depth knowledge I don't have any use for... But it's fascinating!

    • @andersjjensen
      @andersjjensen 2 місяці тому +15

      Because you're a nerd like the rest of us.

    • @hennsbreit
      @hennsbreit 2 місяці тому

      It blows my mind, how precisely we actually get these chips done, how do you get the "edge stage" without brakeing these micro structures or cleaning the whole chip from the left overs?!😮 I love this ❤😊

  • @fredinit
    @fredinit 2 місяці тому +67

    Jon, Thanks for the update. Was wondering where GAA was at from a production perspective after you mentioning it in prior reports.

    • @johndoh5182
      @johndoh5182 2 місяці тому

      Samsung already uses it.

  • @jorenboulanger4347
    @jorenboulanger4347 2 місяці тому +154

    Lol, that sudden picture of the IMEC building. My grandma lives across the street from it.

    • @Kabodanki
      @Kabodanki 2 місяці тому +35

      shoutout to your grandma, she can be an insider, if she open a kimchi/ramen/shushi shop and listen to conversation

    • @JorenVaes
      @JorenVaes 2 місяці тому +6

      That tower is a bane on the view of Arenberg Castle.

    • @kayakMike1000
      @kayakMike1000 2 місяці тому +1

      Small world

    • @filthyE
      @filthyE 2 місяці тому +2

      Hi grandma!

    • @tokk3
      @tokk3 2 місяці тому +3

      Worked there. Even cooler from the inside

  • @deltax7159
    @deltax7159 2 місяці тому +16

    the GAA design offers great advantages for scaling down semiconductors. The normal problems we experience when scaling down lower and lower is reduced because of the enhanced electrostatics (reduced leakage currents and improved switching speed and energy efficiency). I can't wait to see the next gen semiconductors using this tech. It is going to be crazy!

  • @nomoregoodguy6639
    @nomoregoodguy6639 2 місяці тому +28

    i am 22 and seriously thinking about studying engineering at this age, thanks to you. i am quite speechless with this content, thanks.

    • @Gameboygenius
      @Gameboygenius 2 місяці тому +8

      Do it!

    • @andersjjensen
      @andersjjensen 2 місяці тому +5

      If you like being presented with math problems that seriously bake your noodle for several hours before you finally crack it: Do it!
      If you hate the problem solving part and just want to get to the dopamine rush, from getting the solution, quickly.... keep playing computer games.

    • @beardoe6874
      @beardoe6874 2 місяці тому

      Most EEs at 22 already have their EE Batchelor degree and are deciding if they need to get a Masters or Doctorate degree for the job they want.
      You better be very motivated to get in starting at 22.
      An alternative is getting in to Integrated Circuit Mask Layout Design.
      Get in to that and you'll be down in the weeds battlng the process. It's not hard to get in to that and much less schooling but you wind up with lots of schedule pressure because you are in the critical path.
      If you are good with spatial relations and things like Tetris, packing suitcases, grocery bags and you can understand resistors, capacitors and transistors, you might have the talent for it.
      With that said you will never have the influence in a company that an EE has even if you design devices and libraries that allow the EEs to design circuits with better electrical characteristics and lower die area. You'll have to reinvent the wheel often because most rookie EEs won't believe that your experience is worth listening to and there is a chance that your job will be replaced by some AI Place & Route tool even if you specialize in full custom analog or you are a guy that runs a Place & Route tool.
      I did it and felt like a whore being used for my mind.
      But I quit and I prefer mindless work so I can keep my mind to myself. That's a much better way for me to live.

    • @IainShepherd1
      @IainShepherd1 2 місяці тому +2

      Jump in and make the future for us. :)

    • @frankstrawnation
      @frankstrawnation 2 місяці тому +8

      You are still very young, sure you should try studying engineering.

  • @bort6414
    @bort6414 2 місяці тому +21

    Minor correction; at 6:05 you talk about the effects of dielectric permittivity on gate function, and while obviously higher dielectric materials produce a higher capacitance, the electric fields within the substructure are actually *reduced* as an effect of the polarization, which can be essentially described as the realignment of electric charges inside the dielectric that cancels out the external field.

    • @Martinit0
      @Martinit0 2 місяці тому

      So the actual benefit of using high-k gate dielectric would be?
      Is is that the electric field is lower in the volume of the dielectric but then higher at the interface of dielectric-channel?

    • @bort6414
      @bort6414 2 місяці тому

      @@Martinit0 Correct, charge density at the gaussian surface of the electrode increases as relative permittivity increases, increasing total work capacity. It's easier to think about when you look at what we consider ,"charge" in electromagnetism as an imbalance of electrons and protons throughout a system. A dielectric essentially acts as a material with quasi-free electrons; similar to a metal, but instead their movement is limited to their immediate surroundings. When an electric field is applied to the material, the charged particles inside rearrange in order to achieve electrostatic equilibrium, which means there is no imbalance of charge, so the field on the inside from the perspective of the system is zero.
      It also increases charge density disproportionate to voltage, meaning increased efficiency, but I'm not sure how pertinent that is to transistor design specifically. More applies to capacitors and electrostatic motors.

  • @frankwales
    @frankwales 2 місяці тому +40

    Can't believe they didn't call DIBL DrIBL, given what it meant

  • @MA-cw5fk
    @MA-cw5fk 2 місяці тому +5

    9:35 minor correction. Epitaxy is not a deposition technique, it is the process of growing a single-crystal film on top of a different material with similar lattice constants. Epitaxy can be achieved with different deposition techniques such as evaporation or sputtering.

  • @JohnHoranzy
    @JohnHoranzy 2 місяці тому +18

    I remember back in 1968 reading a Scientific American article on how to make a transistor by spraying various chemicals on a piece of glass on a hot plate. Things have evolved!

  • @kgriffin1032
    @kgriffin1032 2 місяці тому +2

    What is this channel I randomly stumbled across? This video was extremely well done. Love it, will definitely check out other videos on the channel

  • @AlexFoster2291
    @AlexFoster2291 2 місяці тому

    Thank you. Your explanation was the lightbulb moment for me. I've heard and read a multitude of explanations of goafet transistors and it didn't quite click for me. Thank you for breaking it down so eloquently.

  • @roc7880
    @roc7880 2 місяці тому +4

    dude, I cannot imagine your level of energy when you post so many great docs about tech and the economics and/or politics of tech, so often, and with such great ease. congrats.

  • @Jagentic
    @Jagentic 8 днів тому

    Informative presentations - makes far away things feel satisfyingly not unfamiliar. I especially appreciate your perfect captioning so I can read as I listen, to these new words and to what they refer.

  • @yahdood6015
    @yahdood6015 Місяць тому +4

    we’ve had MOSFET and now we will have GAAT 😂

  • @MrMaxcypher
    @MrMaxcypher 2 місяці тому +5

    Both lucid and precise, gotta love it!

  • @Conservator.
    @Conservator. 2 місяці тому +16

    5:35 “kind of like loud talk from your neighbours in a bar messing with your attempts to say, sweet, romantic things to Siri”
    🤣🤣🤣

  • @kangyue9999
    @kangyue9999 2 місяці тому +16

    TSMC Backplane Power Delivery would act as a flavour than a specific node, and there would be backport possibility for other node.

    • @brodriguez11000
      @brodriguez11000 2 місяці тому +1

      My understanding is the software has to catch up to make BPD viable.

    • @kangyue9999
      @kangyue9999 2 місяці тому +5

      @@brodriguez11000 The software is already support this. (I can't disclosure more)

    • @aekue6491
      @aekue6491 2 місяці тому

      ​@@kangyue9999wait who do you work for or can you not answer?

    • @andersjjensen
      @andersjjensen 2 місяці тому

      @@aekue6491 I work for a sub contractor for a big international defence contractor. We have long since been briefed that porting existing GAA designs to BPD-GAA will be, and I quote, "a largely automated process for embedded memory and gate logic, but will require substantial consideration and planning ahead of time for analog circuits".
      Since we work almost exclusively in the boundary layer between analog and digital (such is the nature of real-time signal analysis and shaping) we are currently "a little bit freaked out" as we are in mid-stage design of a GAA based solution that would ideally be finalised and rolled out as BPD-GAA, as that offers vastly superior noise characteristics. However, we are only now starting to get the builtin points on what to account for early to facilitate a reasonably straight forward porting process.
      Everything is still tightly under NDA from "the big three" but from the gossip I hear the situation is largely identical everywhere: The EDA tools will a breeze for the logic folks (CPUs, GPUs, accelerators, PLCs, FPGAs, etc, etc) but us analog folks (memory controllers, radio spectrum technologies, PCIe/CXL, optic signal modulation, etc, etc) will be the whipping boys as usual. We generally only get good EDA automation and integration of a node once it is no longer relevant for us (aka, once it's mature and cheap enough to make bulk crap products on like wireless doorbells and fridges and what have you).
      I hope that satisfies your curiosity, as I can't really divulge anything that is more specific than this.

  • @natetite
    @natetite 2 місяці тому

    I've only seen a few of your videos but each time a third of the way you get through it you get silly and I love it
    EDIT: not silly as in old-school @ThioJoe but silly as in just a little bit fun with the delivery

  • @stevebabiak6997
    @stevebabiak6997 2 місяці тому +5

    4:31 sounds like Officer Dibble from the “Top Cat” TV cartoon ;)

  • @artysanmobile
    @artysanmobile Місяць тому

    Excellent presentation. I appreciate the background and detailed descriptions of competing methodology, and as an investor, the anticipated timeline of new methods. Great stuff. Thanks.

  • @manw3bttcks
    @manw3bttcks 2 місяці тому +9

    2:16 "names are surprisingly descriptive in semiconductor land"
    That's something that bugged me in Physics, there's so many effects named after the discoverer like "Hall Effect", "Nyquist noise" and so on.

    • @peterfireflylund
      @peterfireflylund 2 місяці тому +1

      But you also have Bremsstrahlung and Hohlraum radiation :)

  • @sunnyscaper8560
    @sunnyscaper8560 2 місяці тому +3

    For the basic drawing of the transistor some sort of PowerPoint Animation might have helped to better visualise the gate getting thinner, source/drain pool becoming shallower/deeper, etc. but regardless loved the video. Thank you :)

  • @larryfulkerson4505
    @larryfulkerson4505 2 місяці тому

    Thank you very much for these uploads. Great job.

  • @timwildauer5063
    @timwildauer5063 2 місяці тому +5

    I’d be very interested in seeing a graphical explanation of how these structures are constructed. You had part of an explanation but then it never finished. Either way, your videos are incredible resources!

  • @VioletPrism
    @VioletPrism 2 місяці тому +1

    Hey just wanted to say your videos are amazing keep up the good work :)

  • @WhyWhatWhoWhenWhyAgain
    @WhyWhatWhoWhenWhyAgain 2 місяці тому +2

    Loved the video, and I greatly appreciate your continuing education of computer science, break-throughs, and history. ❤

  • @antonleimbach648
    @antonleimbach648 2 місяці тому +2

    I remember when MOS/FET’s were new. I was an electronics technician for many years and they were somewhat different to troubleshoot but very reliable.

  • @manitoba-op4jx
    @manitoba-op4jx 2 місяці тому +22

    long story short the screen grid and supressor grid from the vacuum tube era ARE making a comeback.
    i missed them. :)

    • @jim_vinyltap
      @jim_vinyltap 2 місяці тому

      Yes!

    • @andersjjensen
      @andersjjensen 2 місяці тому +3

      Uh... that was a highly entertaining, but also actually accurate, way of looking at it. Thanks for the chuckle!

    • @manitoba-op4jx
      @manitoba-op4jx 2 місяці тому +3

      @@andersjjensenyou know what's really funny? i was talking to a friend and he said "oh boy, i can't wait to use beam-power tetrode mosfets!"

  • @Sams_Uncle
    @Sams_Uncle 2 місяці тому +1

    We are so lucky to have this channel. Thank You 🙏

  • @atheistbushman
    @atheistbushman 2 місяці тому +6

    How on earth do you produce highly technical and qualitive videos so regularly?
    Do you have a team helping you?
    Always interesting and informative.

  • @tomenglishmusic6808
    @tomenglishmusic6808 2 місяці тому

    Outstanding as ever - clear, concise and informative.

  • @DrHarryT
    @DrHarryT 2 місяці тому +9

    The amazing part is that they are doing this at the near atomic level.
    I was thinking that the next level is to use the photon combined with wavelength and polarization to do switching thereby eliminating EMF issues related to latency and wasted heat energy.

    • @talinpeacy7222
      @talinpeacy7222 2 місяці тому

      How would that work exactly?

    • @DrHarryT
      @DrHarryT 2 місяці тому

      @@talinpeacy7222 It's above my paygrade to specify.

    • @mattmurphy7030
      @mattmurphy7030 Місяць тому

      @@talinpeacy7222_m a g i c_

  • @michaelfox3259
    @michaelfox3259 2 місяці тому +3

    Thank you for making this extraordinarily clear and interesting video on a subject I wouldn’t have otherwise stuck with, let alone begin to understand.
    What I found most exciting about all of this is that this work at the atomic level reveals a nexus chemistry and physics, but also origami!
    As you presented each new photographic or diagrammatic depiction of architecture evolutions from FinFET to GaaFET, I began to imagine something like the Forksheet. Hello origami! Then, (whoop!) there it was!
    So what theoretically lies beyond 3d transistors?
    When you mentioned techniques for 3D chip making borrowed from MEMS, it made me think about how switching photons vs electrons changes things.
    So how far are we from building photonic processors of similar density/efficiency?
    (Begging forgiveness for my ignorance and possible/likely misuse of terms referenced and engineering concepts please! I’m a technology sales rep and avocational musician, not a theoretical physicist or electrical engineer!)

  • @thom1218
    @thom1218 2 місяці тому +3

    Grok's custom ASICs are using 14nm established process node and demolishing GPU based AI accelerators - these new transistor designs aren't needed for AI acceleration as there's lots more headroom in transitioning to custom ASIC designs with integrated memory for example, than what can be squeezed out of an evolutionary step in transistor design.

  • @darkfeeels
    @darkfeeels 29 днів тому

    I work as an analyst and your channel is truly one of the rich resources for my learning. You're one of a kind and your videos will forever be a treasure to anyone who wants to learn about these topics. Thanks a lot man!

  • @philosothink
    @philosothink 2 місяці тому

    Nice work. You successfully made this knowledge digestible to someone in rural Tennessee. Whomever writes these has a talent for explaining things.

  • @dids309
    @dids309 2 місяці тому +1

    I work for imec on CFET. It's nice helping to shape the future🙂

  • @ricolorenz7307
    @ricolorenz7307 2 місяці тому

    4:40 "Like a rabbit" is hilarious. The pause afterwards is amazing.

  • @lincolnkarim1
    @lincolnkarim1 25 днів тому

    A transistor is not just a switch (on/off). it can also behave like a variable resistor (linear operation). Even if in the field of Digital Electronics, it is always operated (biased) to perform as an on/off switch, the device can also be operated on its linear response characteristics to perform as an amplifier in the analog world. On the input and output sides of a digital circuit we still use transistors as linear devices.
    After the junction voltage is overcome, there is a nice linear response of changes in current to voltage (smooth changes in input current produces proportional changes of output voltage), before the transistor goes into saturation (completely On).
    If someone ever invented a true 'digital relay', transistors would become obsolete.

  • @bgd73
    @bgd73 2 місяці тому

    amazing stuff.. its like making a nano casting, sacrificial space to hold up a shape. from linear, to lateral to 3d.. and it is not even farctal geometry. It all has a purpose/destination.

  • @bb5a
    @bb5a 2 місяці тому

    2:07 Hey, that's Richmond Park! Jon, if you ever visit London, I'll take you to see that gate.

  • @danytoob
    @danytoob 2 місяці тому

    Always so fascinating ... and if I understood more than a fraction of it, just think of the descriptors I could be using. But since I don't I can't.
    But much thanks nonetheless.

  • @anthonysmith4072
    @anthonysmith4072 2 місяці тому +1

    Thank you for explaining in an intelligent, thorough and graspable form…I actually understood😅

  • @LuisAldamiz
    @LuisAldamiz 2 місяці тому

    As always, absolutely amazing. TY.

  • @jefferychartier2536
    @jefferychartier2536 2 місяці тому

    Great video, thanks for posting.

  • @justindressler5992
    @justindressler5992 2 місяці тому +1

    I really enjoy your channel it takes me back to my Electronics days but I'm a software engineer now. But I studied electronics when I was young. Its interesting they will be able to get to n2 by using established techniques 30% power gain is significant even if performance was to stay nearly the same. Do you think gate all around will make it into high power devices like desktop CPU's

  • @zachreyhelmberger894
    @zachreyhelmberger894 2 місяці тому

    Wow! Great stuff! I learned a few things.

  • @rejectioncrew101
    @rejectioncrew101 2 місяці тому +1

    Man I have been waiting so long for the GAAfet to be consumer viable! very hyped

  • @TheEVEInspiration
    @TheEVEInspiration 2 місяці тому +3

    9:28 This looks pretty insane.

  • @andrealibanori3116
    @andrealibanori3116 2 місяці тому +3

    I LEARNED WHAT A TRANSISTOR IS

  • @Dave-dh7rt
    @Dave-dh7rt 2 місяці тому

    Awesome video! Sounds like your audio is clipping

  • @jakegarvin7634
    @jakegarvin7634 2 місяці тому +1

    Sir, I could listen to you say the word "dibble" all day

  • @alexlo7708
    @alexlo7708 2 місяці тому

    I see the benefit from this GAA is only that one gate controls 3 channels. Just bring benefit to only memory system such NAND that has several fan in - fan out.

  • @enduroman2834
    @enduroman2834 2 місяці тому

    Super interesting topic, is it possible you and I were listening to the same presentation a few weeks back?

  • @dmd7d4
    @dmd7d4 2 місяці тому

    Excellent video. Thank you

  • @Cracktune
    @Cracktune Місяць тому

    some of the best content on the internet. Thank you.

  • @neverknowit11
    @neverknowit11 2 місяці тому

    Many types of transistors. FET as they were describing. Standard, MOS FET’s for power applications. N Channel P Channel FET’s low Power Application.

  • @puppy7505
    @puppy7505 2 місяці тому +2

    Thanks!

  • @user-cd9uz4fq8f
    @user-cd9uz4fq8f Місяць тому

    "Some guy starts playing darts and billiards in a pool hall."

  • @user-pz2lt7ox1r
    @user-pz2lt7ox1r Місяць тому

    Thank you for this video

  • @htomerif
    @htomerif 2 місяці тому +1

    I like how the relevance of this really pushes everything back into data centers. Welcome back to the age of the mainframe and the thin client. Your rectangle is a brick without a half ton space heater sitting in a warehouse.

  • @AC-jk8wq
    @AC-jk8wq 2 місяці тому

    Yay Jon!
    Wise beyond his years!!!
    😃

  • @0p3nh4ym3r
    @0p3nh4ym3r 2 місяці тому

    Superior content as usual, sir.

  • @cantkeepitin
    @cantkeepitin 2 місяці тому

    Hi, great Video! I wonder can we expect such GAA transistors also in analog applications like RF power amps?

  • @thelandofnod123
    @thelandofnod123 2 місяці тому

    I have little idea what you’re talking about, however it is super interesting.

  • @roc7880
    @roc7880 2 місяці тому

    thanks for the effort. I wonder whether you can do a video about DNA microarray chips technology?

  • @mumblbeebee6546
    @mumblbeebee6546 2 місяці тому

    “Like a rabbit. Nibl….” 😂
    Great storytelling of technical concept, respect!

  • @Pinstripe6666
    @Pinstripe6666 2 місяці тому

    Excellent video. Thanks.

  • @stevengill1736
    @stevengill1736 2 місяці тому +3

    Fascinating - seems like we're approaching limits of scale at some point....this is where someone develops a new material or a new paradigm to take digital manipulation to the next level....optics? Magnetohydrodynamics? Some new graphene variant? Can't wait to find out...

    • @nicolasdujarrier
      @nicolasdujarrier 2 місяці тому +1

      FinFET, then GAAFET, then Forksheet seems to make sense, as there seems to be gains in multiple criteria but CFET seems to have little power efficiency and performance improvements…
      I believe the next step is Post-CMOS spintronics / ferro-magnetic related technology based on Magnetic Tunnel Junction (MTJ) (or derived from it) like MRAM, Intel MESO concept, Spintec FESO concept… Semiconductor R&D should be focusing on spintronics instead of CFET as it has a much bigger improvement potential than CFET…

  • @ivanrodriguez1134
    @ivanrodriguez1134 2 місяці тому +1

    Also I think that Gate-All-Around will be a revolution on the radiation harden transistors, as we have seen with the resilience of FinFet

  • @facepalm486
    @facepalm486 Місяць тому

    dude your videos, explanations....perfection.
    I'm pretty sure there's a proud asian father in your family.

  • @hennsbreit
    @hennsbreit 2 місяці тому

    When I saw the video, were you have described how much effort you have to invest, to get the most pure water...
    I knew, I would fall in "love" (subscribe) to this channel! Thank you for shareing your knowlege!

  • @retroelectrical
    @retroelectrical 2 місяці тому +4

    Hughes developed this tech in 1985. Good luck finding out what happened to it. :)

    • @Gameboygenius
      @Gameboygenius 2 місяці тому +3

      Hmm. Nerds like arguing about who did what first, but gate all around at 1 µm is not the same as gate all around at single digit nm. Completely different manufacturing challenge and a whole different pressing need to make it work in order to advance the technology.

    • @andersjjensen
      @andersjjensen 2 місяці тому +8

      There are many MANY semiconductor technologies that were proven in the lab several decades before they became commercially viable. One thing is to do it. Another thing is to do it with such low defect density that you can build anything useful with it. And another thing, yet again, is to be able to do that at several hundred wafers per hour with a method that can be "copy/pasted" to many production lines spanning several fabs.

  • @user-mc7ez6lm4x
    @user-mc7ez6lm4x 2 місяці тому

    Really exciting narration

  • @benmcreynolds8581
    @benmcreynolds8581 2 місяці тому +5

    I have no idea how we every figured out how to DO anything with these developments..? It's basically magic

    • @Bomkz
      @Bomkz 2 місяці тому

      In essence, WW2.

    • @Gameboygenius
      @Gameboygenius 2 місяці тому

      ​@@Bomkz Eh what? Cold war, I could get some degree, space race and all that. But what does WW2 have to do with the last 50 or so years of semiconductor development?

    • @Bomkz
      @Bomkz 2 місяці тому +2

      @@Gameboygenius while yes, technology advanced quickly during the cold war, the _foundations_ for a lot of very _very_ important science fields and technologies were created during WW2, as well as the push towards creating more advanced computers during such times and a lot of very important discoveries. It wasn't until the cold war that we realized how to merge all these advancements done during WW2 to create what would be a mass producible transistor, and eventually, the computer. WW2 also laid the foundations necessary for the cold war to be a thing in the first place as well, and showed america(ns) how science and technology can be very beneficial for war, and coincidentally civilians as well via the trickling down of such discoveries into consumer products. It propelled america into a global superpower who eventually was able to monetarily back a bunch of research and development.

    • @IainShepherd1
      @IainShepherd1 2 місяці тому +1

      I have a memory from 1992-ish of an adult telling me that computers are alien technology, he reasoned this by saying that no one could fully explain how they worked.
      There was no Asianometry at that time so I forgive him.

    • @Gameboygenius
      @Gameboygenius 2 місяці тому

      @@Bomkz Ok, I get your perspective. However. Fundamental physics is important, however, I can easily imagine an alternate timeline where the development was 2-4 times slower. In this timeline, the collective economic interests of the world got complacent and didn't decide to plough in the ginormous R&D investments that it took to get to where we are today. In my view, what happened after WW2 was much more pivotal for the world of electronics.

  • @ChristianStout
    @ChristianStout 2 місяці тому +1

    Is GAA/MBC the kind of thing that can be back-ported from EUV to DUV to improve density/power/performance of older nodes?

  • @memyselfishness
    @memyselfishness 2 місяці тому

    I know nothing really about this field, but I will say the video reminded me of watching Gregtech New Horizons let's plays. Take that as a compliment.

  • @lomotil3370
    @lomotil3370 2 місяці тому +7

    🎯 Key Takeaways for quick navigation:
    00:41 *🔄 Next-gen transistors.*
    03:38 *⚡ Short Channel Effects.*
    07:33 *🔄 Gate-All-Around (GAA) transistors.*
    11:27 *🌐 GAA vs. FinFET power draw.*
    13:52 *⚙️ Intel's Ribbon FET.*
    14:32 *🌐 GAA's industry impact.*
    15:00 *🔄 Future transistor designs.*
    15:42 *🚀 Looking ahead to N2.*
    Made with HARPA AI

    • @skmgeek
      @skmgeek 2 місяці тому +2

      thank you for being the reason we should normalise bullying

    • @ayylmao2410
      @ayylmao2410 2 місяці тому

      @@skmgeek ??? wtf how is that justified for the reason to normalise bullying?? I think you are the reason why we should normalise bullying.

    • @lomotil3370
      @lomotil3370 2 місяці тому

      @@skmgeek Sad

    • @skmgeek
      @skmgeek 2 місяці тому

      @@lomotil3370 simply don't clutter the comments section with useless ai-generated stuff that barely even helps anyone ❤️

  • @dcolb121
    @dcolb121 2 місяці тому

    Fascinating.

  • @moritzheintze7615
    @moritzheintze7615 23 дні тому

    15:43 - The field effect trnasistor was concieved and postulated as early as the late 1920'ies. Then however, no semiconductor could be made to sufficient purity, though...

  • @arcadealchemist
    @arcadealchemist Місяць тому

    waiting for cpus to use stacking and trying to bump up core counts with out energy counts
    ones you crystalize a formula in to a transister arrey and lipograpth the function like we want to go as small as possble anyway right?

  • @eugeniustheodidactus8890
    @eugeniustheodidactus8890 2 місяці тому

    I love your work.

  • @BosonCollider
    @BosonCollider 2 місяці тому

    Imagine when chips become a full millimeter thick and double as a solder iron

  • @johneygd
    @johneygd 2 місяці тому

    With those channel leakage in mind,i wonder wether there will be an end in shrinking chips or if that shrinking will be still continueing on.

  • @wilurbean
    @wilurbean 2 місяці тому

    Short channel sounds a lot like space charge limits in vacuum tubes

  • @FloydMaxwell
    @FloydMaxwell 2 місяці тому

    Great explainer. God Bless Engineers.

  • @googlehomemini2059
    @googlehomemini2059 2 місяці тому

    Jon is amazing, that is all!❤🎉

  • @theantipope4354
    @theantipope4354 2 місяці тому

    2:29 A small correction: MOS stands for Metal Oxide SILICON, not Semiconductor.