(De)Skalierung in der Analogwertverarbeitung (mit Scale + Unscale)

Поділитися
Вставка
  • Опубліковано 2 сер 2024
  • Beim Einlesen von Analogwerten in die CPU können die erfassten digitalen Nennwerte per Skalierung in die entsprechenden physikalischen Messwerte umgerechnet werden. Das Video zeigt das nötige Vorgehen anhand des Scale-Bausteins.
    Außerdem wird gezeigt, wie eine vorgegebene physikalische Größe per Deskalierung mit dem Unscale-Baustein zur Ausgabe vorbereitet wird.

КОМЕНТАРІ • 18

  • @walterszedlak1107
    @walterszedlak1107 3 роки тому +2

    Sehr ausführlich und großes Lob für die gute Erkkärung

  • @Hussleblud
    @Hussleblud 3 роки тому +1

    Vielen Dank!

  • @amaterasu4680
    @amaterasu4680 8 місяців тому

    Sehr gutes video 😮

  • @97denis97
    @97denis97 Рік тому

    Super erklärt, vielen Dank!

  • @jofe701
    @jofe701 3 роки тому

    Danke sehr !!

  • @alfonsjones5798
    @alfonsjones5798 3 роки тому

    Danke sehr :)

  • @user-hz2fu8iw9j
    @user-hz2fu8iw9j 4 роки тому

    Danke 👍

  • @horstbaumgartner8340
    @horstbaumgartner8340 5 місяців тому

    Ja sehr ausführlich, dennoch habe ich immer eine Frage:
    Der Digitaler Nennwert ist mit DEZ+/- eingestellt ist, wird aber immer im Netzwerk als HEX dargestellt. Beim Forcen war es anfangs auch so, konnte ich aber dann umstellen.
    Wenn ich in der Forcetabelle den Forcewert auf 10000 einstelle, sehe ich im Netzwerk 1 den Digitaler_Nennwert mit 16#2710 %IW2.
    Wie kann ich den IN auf DEC +/- umstellen?
    Auch die Eingänge LO_LIM und HI_LIM zeigen eine Nachkommastelle an (0.0 und 2800.0) Wie kann das sein?

  • @danielhaussler8832
    @danielhaussler8832 Рік тому

    Für was ist die CPU bzw. das skalieren und deskalieren gut, wenn man nach der CPU genau den selben Digitalwert erhält? Aber gutes Video!

  • @birgerk.8674
    @birgerk.8674 3 роки тому

    Bei meiner S7-300 zeigt er beim Eingang IN immer 0 an, obwohl ich 5 V auf dem analogen Eingang eingespeist habe. Quasi einen analogen Sensor mit 5 V simuliere. Woran kann das liegen?

    • @Schuelerunterlagen
      @Schuelerunterlagen  3 роки тому

      Das kann natürlich mehrere Ursachen haben. Ist das Signalmodul richtig verdrahtet? Fragen Sie im Programm wirklich den korrekten Kanal ab? Liegt ein Signal mit dem gleichen Massebezug (wie es das Signalmodul hat) an?

    • @birgerk.8674
      @birgerk.8674 3 роки тому

      @@Schuelerunterlagen Hey, ich musste einen direkten Zugriff mit :P machen, damit dann die Werte angezeigt wurden ... Fragen Sie mich bitte nicht wieso ?! :)

  • @Lukas8034
    @Lukas8034 4 роки тому

    Müssten es nicht eigentlich 2^16 also 65536 Schritte sein, wenn man nur den positiven Bereich betrachtet?

    • @Schuelerunterlagen
      @Schuelerunterlagen  3 роки тому +2

      Ich nehme an, Sie meinen mit "Schritten" die "Digitalen Nennwerte" mit welchen dann die SPS intern arbeitet. Sie haben zwar Recht, dass vom Signalmodul über den Rückwandbus 16 Bit an die CPU übertragen werden, aber dabei handelt es sich um einen Wert mit dem Datentyp INT. Daraus geht hervor, dass das höchstwertige Bit ein Vorzeichenbit ist. Somit stehen nur 2^15 =32768 digitale Nennwerte zur Verfügung. Und dieser Bereich muss genutzt werden um neben dem Nennbereich (z.B. 0V bis +10V) auch noch den Übersteuerungsbereich (also von +10V bis ca. 11,8V) abzubilden. Deshalb entspricht der maximale Wert des Nennbereiches (z.B. +10V) dem digitalen Nennwert von 27648.

  • @Marco911
    @Marco911 2 роки тому

    Ich kann bei bipolar aus irgendwelchen Gründen kein false eingeben.

    • @Marco911
      @Marco911 2 роки тому

      Verwende eine S7 314C-2DP

    • @Schuelerunterlagen
      @Schuelerunterlagen  2 роки тому +3

      Bei einer SPS der 300er Familie gibt es keine vordefinierte Variable FALSE oder TRUE. Du muss einen (noch nicht im Projekt verwendeten) Merker an den Eingang legen. Dieser ist beim Einschalten der SPS immer FALSE ... das ist der sogenannte Defaultwert. Nun darfst Du den Merker einfach niemals (!) im Projekt woanders verwenden. Somit hast Du immer ein FALSE-Signal am Bipolareingang liegen.

    • @Joker-qf3vb
      @Joker-qf3vb 4 місяці тому

      @schuelerunterlagen danke