What is a FIFO in an FPGA

Поділитися
Вставка
  • Опубліковано 20 жов 2024

КОМЕНТАРІ • 47

  • @ziroks51
    @ziroks51 5 років тому +23

    Thank you, man. I really appreciate your videos, I'm gonna graduate with them :D

  • @asmi06
    @asmi06 7 років тому +7

    Thanks for the informative video! Although I gotta say I really miss your previous format - as in theory followed by practical example. For me following practical examples is the easiest way to really understand how to use different things available in FPGA.

    • @Nandland
      @Nandland  7 років тому +4

      asmi06 thanks for the feedback! I'll keep that in mind for future videos. It's a balance between teaching a concept well and keeping the video short. I feel like if I did too many examples it might be too long. Thoughts?

    • @asmi06
      @asmi06 7 років тому +2

      For me video can be as long as it needs to be - provided that it maintains focus on the topic at hand without straying too much from it. I regularly watch 1+ hr long videos - as a matter of fact, most "training" kind of videos are that long as they cover topic in great detail.
      So I'm not sure how others feel about this, but I tend to prefer longer videos exactly because they usually cover subject in greater detail.

    • @Nandland
      @Nandland  7 років тому +5

      +asmi06 ok I hear you. Those take longer too, so I can do less of them. What about if I revisited this topic with a video all about creating the VHDL and Verilog for FIFOs? Think concept in one, example in another is OK?

    • @asmi06
      @asmi06 7 років тому +2

      nandland Whether it will be one video or several does not really matter as long as they are out there, because these type of videos will be watched for years to come (heck just yesterday I watched TI training video from 2011 I think!), it's just the presence of practical part is very important as it allows one to play around with it to better understand how it works. There is a reason all professional training courses always contain lab section.
      But that is of course just my humble opinion, you're free to structure your videos however you see fit.

    • @Nandland
      @Nandland  7 років тому +2

      Thanks very much for your reply. I'll work on this.

  • @Nat-o1p
    @Nat-o1p 3 роки тому +2

    That was perfect. Love your videos! 😌 very informative and you have a talent for teaching.

  • @Ganjin88
    @Ganjin88 5 років тому +1

    Great video. I had to sketch out a simple diagram of simple FIFO just to get a feel and visualize the VHDL design. I can see why you added the r_read_index and r_write_index. Great video even though you made it two years ago.

  • @robertwitt1276
    @robertwitt1276 2 роки тому

    great video! i am about to build a fifo with registers for my behavioral verilog class and I am excited to do this! such an interesting thing to build with hardware XD

  • @HansBaier
    @HansBaier 3 роки тому

    Excellent explanation! Short and relevant. Thanks!

  • @varundesai688
    @varundesai688 3 роки тому +1

    why is it that we can read with only 50% efficiency? What if we simply check that if the fifo is empty or not and in the same clock cycle we perform a read operation?

  • @letstalkscience6494
    @letstalkscience6494 3 роки тому

    Thanks again Russel for an amazing video!! Learnt a lot!!

  • @chao.l6795
    @chao.l6795 Рік тому

    really appreciate you explanation! awesome!

  • @martantoine9977
    @martantoine9977 Рік тому

    Thanks for this really helpful video

  • @michaelschunk5522
    @michaelschunk5522 6 років тому

    Being that the title is "What is a FIFO in an FPGA" is any of this actually specific to an FPGA? I have not yet made it al the way through (and don't have time at the moment), but so far this seems like a great reference video for anyone using FIFOs!

  • @blabla9800
    @blabla9800 6 років тому +1

    Real fun starts in FIFO.

  • @CarolinaSilva-r2d
    @CarolinaSilva-r2d Рік тому

    Hello! First of all great video! Do you have any example code on how to program a FIFO in Verilog? Thank you!

  • @Nandland
    @Nandland  7 років тому +2

    Support this channel! Buy a Go Board today! www.nandland.com/goboard/introduction.html

  • @ShubhamPatil-xx1vs
    @ShubhamPatil-xx1vs 2 роки тому

    Very Informative , Thanks

  • @ayselkarimova6972
    @ayselkarimova6972 6 років тому

    So useful videos! Thank you very much!

  • @WalczySzczur
    @WalczySzczur 3 роки тому

    7:35 unrecoverable error what means? Just failure of program? Or FPGA burned? :D just curious

  • @tolgahannsusur2534
    @tolgahannsusur2534 5 років тому

    Nice video! Do you have any idea about labview fpga. They have very easy way of programming fpga to understand this kind of topics.

  • @anuragsaiharirachamalla4578
    @anuragsaiharirachamalla4578 5 років тому

    Thanks for uploading :)

  • @siddhantshrivastav6011
    @siddhantshrivastav6011 2 роки тому

    Great video!!

  • @shaggygoooxide
    @shaggygoooxide 6 років тому

    Hi Russel, I'd be interested in a video showing how to implement a fifo in BRAM for the ICE40. What do you think?

    • @Nandland
      @Nandland  6 років тому

      This will be the next video that I do.

  • @lopintinaveen4689
    @lopintinaveen4689 2 роки тому

    List out advantages and disadvantages of fifo

  • @venkateshiyer5073
    @venkateshiyer5073 3 роки тому

    thank you sir !

  • @GalinaMalakhova
    @GalinaMalakhova 7 років тому

    Nice video dude!

  • @merdogan-ee-engineer
    @merdogan-ee-engineer 6 років тому

    great tutorial

  • @lihaozhang6611
    @lihaozhang6611 3 роки тому

    Nice video

  • @minhajsixbyte
    @minhajsixbyte 3 роки тому

    Thanks!!

  • @nikolaykostishen6402
    @nikolaykostishen6402 4 роки тому

    Thanks!

  • @joshfernandez8475
    @joshfernandez8475 6 років тому

    Hi sir,can u pls help in writing algorithm and flow chart..:))

  • @vinuVA
    @vinuVA 4 роки тому

    from 12:00 to 15:00 refer

  • @alexshepel5599
    @alexshepel5599 3 роки тому

    Nice!

  • @raulguerreroflores1460
    @raulguerreroflores1460 5 місяців тому

    Stack = first in , first out

  • @astghikavagyan1119
    @astghikavagyan1119 6 років тому

    Thanks :)

  • @hemanthkumar-xn5vu
    @hemanthkumar-xn5vu 5 років тому

    1. how to calculate the depth of FIFO?
    2. what do you mean by BURST?

    • @Nandland
      @Nandland  5 років тому

      1. You set it yourself, it can be as deep as you like.
      2. Burst just means a lot of data on back-to-back clock cycles with no delays in between.

  • @chatgpt94274
    @chatgpt94274 7 років тому

    great

  • @danielmamaghani
    @danielmamaghani 2 роки тому

    Nandland channel gets basic stuff wrong. Sorry.

    • @sipos0
      @sipos0 9 місяців тому

      Care to elaborate on what, for those of us learning?