Hardware Modeling Using Verilog
Hardware Modeling Using Verilog
  • 59
  • 2 098 569
Lec 13
Lec 13
Переглядів: 1 857

Відео

Lec 16
Переглядів 1,3 тис.6 років тому
Lec 16
Lec 15
Переглядів 8776 років тому
Lec 15
Lec 14
Переглядів 9326 років тому
Lec 14
Lec 12
Переглядів 6266 років тому
Lec 12
Lec 11
Переглядів 5726 років тому
Lec 11
Lec 10
Переглядів 9836 років тому
Lec 10
Lec 09
Переглядів 6116 років тому
Lec 09
Lec 08
Переглядів 3766 років тому
Lec 08
Lec 07
Переглядів 5836 років тому
Lec 07
Lec 06
Переглядів 7576 років тому
Lec 06
Lec 05
Переглядів 1,1 тис.6 років тому
Lec 05
Lec 04
Переглядів 7716 років тому
Lec 04
Lec 03
Переглядів 9506 років тому
Lec 03
Lec 02
Переглядів 1,4 тис.6 років тому
Lec 02
Lec 01
Переглядів 5 тис.6 років тому
Lec 01
mod08lec42
Переглядів 7 тис.7 років тому
mod08lec42
VERILOG MODELING OF THE PROCESSOR (PART 1)
Переглядів 22 тис.7 років тому
VERILOG MODELING OF THE PROCESSOR (PART 1)
VERILOG MODELING OF THE PROCESSOR (PART 1)
Переглядів 35 тис.7 років тому
VERILOG MODELING OF THE PROCESSOR (PART 1)
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 3)
Переглядів 18 тис.7 років тому
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 3)
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 2)
Переглядів 18 тис.7 років тому
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 2)
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 1)
Переглядів 26 тис.7 років тому
PIPELINE IMPLEMENTATION OF A PROCESSOR (PART 1)
SWITCH LEVEL MODELING (PART 1)
Переглядів 21 тис.7 років тому
SWITCH LEVEL MODELING (PART 1)
SWITCH LEVEL MODDELING (PART 2)
Переглядів 11 тис.7 років тому
SWITCH LEVEL MODDELING (PART 2)
PIPELINE MODELING (PART 2)
Переглядів 15 тис.7 років тому
PIPELINE MODELING (PART 2)
PIPELINE MODELING (PART 1)
Переглядів 21 тис.7 років тому
PIPELINE MODELING (PART 1)
BASIC PIPELINING CONCEPTS
Переглядів 23 тис.7 років тому
BASIC PIPELINING CONCEPTS
MODELING REGISTER BANKS
Переглядів 17 тис.7 років тому
MODELING REGISTER BANKS
MODELING MEMORY
Переглядів 38 тис.7 років тому
MODELING MEMORY
SOME RECOMMENDED PRACTICES
Переглядів 14 тис.7 років тому
SOME RECOMMENDED PRACTICES

КОМЕНТАРІ

  • @AbinashDwibedi-q1d
    @AbinashDwibedi-q1d День тому

    Thank you sir 👍

  • @shruti-s2b
    @shruti-s2b 5 днів тому

    module ram_test; reg [9:0] address; wire [7:0] data_out; reg [7:0] data_in; reg write, select; integer k, myseed; // Correct module instantiation ram2 RAM(data_in,data_out, select, write, address); initial begin myseed = 35; // Move this inside the initial block // Writing to memory for (k = 0; k <= 1023; k = k + 1) begin address=k; data_in = (k + k) % 256; write = 1; select = 1; #2 write = 0; select = 0; end #2; // Small delay before reading // Reading from memory randomly repeat(20) begin #2 address = $random(myseed) % 1024; write = 0; select = 1; #2 $display("Address: %5d, Data: %4d", address, data_out); end end endmodule corrected testbench

  • @Aman-ic8mv
    @Aman-ic8mv 8 днів тому

    shouldnt we show overall in case as in X=16'h0001; Y=16'hffff; //X=0000 0000 0000 0001, Y=1111 1111 1111 1111 At time 10 Z=0000 0000 0000 0000, C=1, S=0, Z=1, P=1, OF=0

  • @rohaaan_2005
    @rohaaan_2005 8 днів тому

    Thank you very much Sirrrrr

  • @keerthipavanisatti6877
    @keerthipavanisatti6877 9 днів тому

    6:5

  • @Deepak-ip1se
    @Deepak-ip1se 13 днів тому

    at 19:40 shouldn't carry expression has (z&x) instead of (z|x)

  • @Anyonymns
    @Anyonymns 13 днів тому

    Thank you sir .. This lecture series is much useful...for those who are starting now...at the begining u will be worried....just be patient and listen to him carefully...and must and must do a parallel practice in hdlbits....else you will be frustrated with the series.....thanku....must watch.....

  • @atreshwarojha4622
    @atreshwarojha4622 16 днів тому

    How can i get notes for these lectures

  • @yaserc.3363
    @yaserc.3363 16 днів тому

    Thank you, correct me if I'm wrong I think in 19:23 this is a Demux not a decoder since we have a selector

    • @Elnino12336
      @Elnino12336 13 днів тому

      when input is only 1 in decoder it will become de mux

  • @Deepak-ip1se
    @Deepak-ip1se 16 днів тому

    at 20:24 no monitor or display is used. How will get the waveform?

  • @Deepak-ip1se
    @Deepak-ip1se 17 днів тому

    For T fip flop shouldn't we take T as one of the inputs?

  • @krishanubandyopadhyay8599
    @krishanubandyopadhyay8599 23 дні тому

    A jewel series from past

  • @Deepak-ip1se
    @Deepak-ip1se 23 дні тому

    Download the latest version of iverilog not the stable version if vcd file is not created by the command.

  • @abdxlive
    @abdxlive 27 днів тому

    Thanks

  • @rachit_jain204
    @rachit_jain204 Місяць тому

    14:13 the statement "bitwise operators operate on bits and return a value which is also a bit" is incorrect. because bitwise operators do not necessarily operate only on single bits, nor do they always return a single bit.

  • @prerakgupta6691
    @prerakgupta6691 Місяць тому

    Always great full to the proff, best ever teacher for verilog. thankyou so much sir 🩵🩵

  • @mohithasaiburi6824
    @mohithasaiburi6824 Місяць тому

    Is this course present in NPTEL courses..?👀

  • @jerven1128
    @jerven1128 2 місяці тому

    2024 and we hitting 3nm

    • @srihurry
      @srihurry Місяць тому

      Will be working on 3nm device next year🎉

  • @mahaboobbashasyed7627
    @mahaboobbashasyed7627 2 місяці тому

    brillant teaching

  • @SachinMishra-i2l
    @SachinMishra-i2l 2 місяці тому

    Notes of the these lectures drive.google.com/file/d/19nENrfyDvwPo9R-9kOMGKFWEKvtl03IO/view

  • @يعقوبالبدر-ز9ز
    @يعقوبالبدر-ز9ز 2 місяці тому

    guys,does anyone know ho to get the slides which prof explain?

  • @pcbden
    @pcbden 2 місяці тому

    someone 19:33

  • @toheedh
    @toheedh 3 місяці тому

    At 26:35, d_out and t should've been declared as reg variable since they are placed on the left side in an always blocks. showing that this is a behavioral simulation.

  • @ELECTROPHILLIC
    @ELECTROPHILLIC 3 місяці тому

    27:43 ( Branch Operation ) : if the cond == 0 only then send the ALUOUT to PC else if cond ==1 send NPC value to PC .

    • @gopukrishna521
      @gopukrishna521 Місяць тому

      no if cond is 1 then aluout is sent to pc.

  • @stecklerdav
    @stecklerdav 4 місяці тому

    a genius thanks

    • @rohaaan_2005
      @rohaaan_2005 12 днів тому

      hi.. at 24:36 is the next state missing at s0 and s1.. can you plz reply quickly

  • @techmad8204
    @techmad8204 4 місяці тому

    how are writing to a register when we're reading it? like there is no logic in assign statement to dtop this is it fine?

  • @user-bp4vs2kz2y
    @user-bp4vs2kz2y 4 місяці тому

    sir which simulation tool it is?

  • @bhuvanverma7223
    @bhuvanverma7223 5 місяців тому

    is this ppt which used in all the vedios is available?

  • @Gateprep2024
    @Gateprep2024 5 місяців тому

    sIR i am using icarus verilog and getting the output i am getting R1 - 10 R2 - 20 R3 - 25 R4 - 4 R5 - 29 can somebody send the code

  • @shalinidey1896
    @shalinidey1896 5 місяців тому

    Detailed yet crisp lecture series covering such broad spectrum. Thank you sir for the valuable course. Hope more such will follow!

  • @paradox2738
    @paradox2738 5 місяців тому

    once in state 5 and the q0,q1 is 11 it will not leave state 5 because in the second always block we are looking out for state change and we not change out of state 5

  • @suvirnupadhyay8696
    @suvirnupadhyay8696 5 місяців тому

    at 29:57 , there is a mistake .....if zero is applied how can it make transition to two different states with applied input is same.

  • @shashwattripathi5872
    @shashwattripathi5872 6 місяців тому

    in last module "simple_latch", shouldn't 't' be of type 'reg' as it has been assigned inside 'always'?

  • @NPALEPUNITHINSANTOSHKUMAR
    @NPALEPUNITHINSANTOSHKUMAR 6 місяців тому

    In IF why we use pc+1

    • @srikanthvadithya9522
      @srikanthvadithya9522 6 місяців тому

      Program counter is the special type of register which holds the address of next instruction to be executed.. So whenever we write pc << pc+1 Instruction fetch(IF) has also same functionality as mentioned in lecture

    • @ELECTROPHILLIC
      @ELECTROPHILLIC 3 місяці тому

      @@srikanthvadithya9522 Actually PC will have the current address of the RAM location , but NPC will always have the next address of RAM and that value is loaded to PC .

  • @SrikarVarma-jw8hh
    @SrikarVarma-jw8hh 6 місяців тому

    in 18:49, explicit association should be "<.> <ports_from_module>(<ports_from_testbench>)", anyone can notice and reply please

    • @shayokrahmanemon731
      @shayokrahmanemon731 4 місяці тому

      yes I also notice this and i think you write the correct one

  • @arghya.7098
    @arghya.7098 6 місяців тому

    15:07 can't we achieve the same functionality using blocking assignment?

  • @arghya.7098
    @arghya.7098 6 місяців тому

    3:53 isn't 1^x = x' (x complement)?

    • @NikhilMandoli
      @NikhilMandoli 6 місяців тому

      no.. 1 ^ X= X

    • @honeygarg2946
      @honeygarg2946 3 місяці тому

      yes it should be x'

    • @arghya.7098
      @arghya.7098 3 місяці тому

      @@honeygarg2946 I think prof is correct. As, x is a state of variable, not a Boolean variable itself.

    • @akshatsharma8151
      @akshatsharma8151 Місяць тому

      @@arghya.7098 Exactly.

  • @Tanaypasumarthi
    @Tanaypasumarthi 6 місяців тому

    6:34 In non blocking condition what will be the result when time delays are different

    • @arghya.7098
      @arghya.7098 6 місяців тому

      all the data assignment will take place after their respective time delay. for example, x <= #5 (a | b); y <= #7 (c & d); then assignment to x will take place at t = 5, and assignment to y will take place at t = 7 for blocking assignment, i.e., x = #5 (a | b); y = #7 (c & d); here, assignment to x will take place at t = 5, and assignment to y will take place at t = 12

  • @Awakened_Pot
    @Awakened_Pot 6 місяців тому

    Thank you for this amazing series Sir❤

  • @Tanaypasumarthi
    @Tanaypasumarthi 6 місяців тому

    9:26 count has to be intialised to zero right??

    • @sakshisingh4197
      @sakshisingh4197 6 місяців тому

      Reset is there for that

    • @sakshisingh4197
      @sakshisingh4197 6 місяців тому

      In testbench you can start reset before clk so it will initially go to 0

  • @saiteja3717
    @saiteja3717 6 місяців тому

    in time 10:20 state diagram redundant states are there sir

  • @mdfaizan1887
    @mdfaizan1887 6 місяців тому

    Thankyou sir !!!

  • @TharunMalla
    @TharunMalla 6 місяців тому

    where we can download the notes??

  • @sanketnaik387
    @sanketnaik387 7 місяців тому

    I guess for the modified method, in last part you forgot to write next state =S1 and S2 in that 2nd always block

    • @HarshaVardhan-c9s
      @HarshaVardhan-c9s 6 місяців тому

      did you implement that? its not working for me

  • @tee-nw5vx
    @tee-nw5vx 7 місяців тому

    where can i get this ppt

  • @Srijjjj
    @Srijjjj 7 місяців тому

    17:00

  • @Srijjjj
    @Srijjjj 7 місяців тому

    28:00

  • @nityamaheshwari8259
    @nityamaheshwari8259 7 місяців тому

    in full adder circuit there is no 3 xor circuit present and some verilog code is not correct , please make new playlist

    • @yogeshyadav9023
      @yogeshyadav9023 7 місяців тому

      Read last comment of this post , and try to understand, u will get your answer that ,this full adder ckt is also correct

  • @pawansharma6226
    @pawansharma6226 7 місяців тому

    use delay #1 before display, soo that the input and output are settled before display. Now you will get correct Output.

  • @nikhilteja7018
    @nikhilteja7018 7 місяців тому

    14:40 Why did we use mux16 to1 M' instead of 'mux16to1 DUT'

    • @Gate_IITB
      @Gate_IITB 3 місяці тому

      We can give any name to a module that is called( instantiated), therefore, we can use both DUT or M anything that goes good to you