Electro DeCODE
Electro DeCODE
  • 210
  • 1 264 211
Half Adder Design using Gate Level Modeling in ModelSim | Verilog Tutorials
This video provides you details about how can we design a Half Adder using Gate Level Modeling in ModelSim.
Contents of the Video:
1. Half Adder Design using Gate Level Modeling
2. Half Adder Design Simulation in ModelSim
Do Watch our previous videos related to Verilog HDL Tutorials
Introduction to Verilog HDL
ua-cam.com/video/naGYYPhcwys/v-deo.html
Levels of Abstraction | Types of Modeling in Verilog HDL
ua-cam.com/video/Z9nBXc98IZs/v-deo.html
How to Install ModelSim
ua-cam.com/video/_8JNpxJfDyo/v-deo.html
Switch Level Modeling in Verilog HDL using ModelSim
ua-cam.com/video/E-r2BVQBUN4/v-deo.html
Verilog Coding of Gate Level Design | Gate Level Design in ModelSim
ua-cam.com/video/WOFT5DAQJpc/v-deo.html
Writing Basic Testbench Code in Verilog HDL
ua-cam.com/video/IkVsewRfhEI/v-deo.html
Subscribe for more content about Verilog, MATLAB, AutoCAD, and C++ Programming tutorials.
#VerilogTutorials
#HalfAdderDesigninVerilog
#HalfAdderDesignusingGateLevelModeling
#HalfAdderDesigninModelSim
#GateLevelModelinginModelSim
#HalfAdderDesign
#HalfAdderDesignSimulationinModelSim
#ModelSim
#ModelSimTutorial
#Verilog
#VeriloginHindi
#VeriloginUrdu
#IntellCity
Переглядів: 70

Відео

What is Multiplexer/Mux| #dataflow #multiplexer #mux #TestbenchSimulation #ModelSim #intellcityWhat is Multiplexer/Mux| #dataflow #multiplexer #mux #TestbenchSimulation #ModelSim #intellcity
What is Multiplexer/Mux| #dataflow #multiplexer #mux #TestbenchSimulation #ModelSim #intellcity
Переглядів 394Місяць тому
Dataflow level Verilog Code of 4-to-1 Multiplexer/Mux and Testbench simulation in ModelSim This video provides you details about how can we design a 4-to-1 Multiplexer or Mux (4x1 Multiplexer) using Dataflow Level Modeling in ModelSim. The Verilog Code and TestBench for 4x1 Multiplexer are explained in this video. Contents of the Video: 1. 4-to-1 Multiplexer (4x1 Mux) Design 2. 4-to-1 Multiplex...
Detection of Cardiac Disorders using VCG Signal Analysis | Machine LearningDetection of Cardiac Disorders using VCG Signal Analysis | Machine Learning
Detection of Cardiac Disorders using VCG Signal Analysis | Machine Learning
Переглядів 2593 місяці тому
Author and Presenter: Syeda Tehreem Haider This research proposes a pattern recognition framework for precise detection of Bundle Branch Block, Cardiomyopathy, and Dysrhythmia using Vectorcardiography (VCG). #machinelearning #vectorcardiography #ecg #heartdisease #cardiomyopathy #cardiacarrest #electrocardiogram #electrocardiography #machinelearningproject #signalprocessing #intellcity
Pattern Analysis for Biometric Authentication using ECG | Machine LearningPattern Analysis for Biometric Authentication using ECG | Machine Learning
Pattern Analysis for Biometric Authentication using ECG | Machine Learning
Переглядів 1936 місяців тому
Author and Presenter: Muhammad Asim Our latest research introduces a biometric identification method that uses electrocardiogram (ECG) signals. #machinelearning #biometricsystem #biometric #ecg #electrocardiogram #electrocardiography #machinelearningproject #biometricauthentication #intellcity
EMG Signal based Detection of ALS and Myopathy | Machine LearningEMG Signal based Detection of ALS and Myopathy | Machine Learning
EMG Signal based Detection of ALS and Myopathy | Machine Learning
Переглядів 3407 місяців тому
Author and Presenter: Faiza Afzal This research focuses on identifying neuromuscular diseases such as ALS and myopathy through the analysis of Electromyography (EMG) signals, employing a machine-learning approach. The study illustrates the efficacy of utilizing cepstral domain features in combination with the KNN classifier to achieve precise and dependable classification of NMDs based on EMG s...
Biometric Authentication using ECG and PCG | Machine LearningBiometric Authentication using ECG and PCG | Machine Learning
Biometric Authentication using ECG and PCG | Machine Learning
Переглядів 4068 місяців тому
Author and Presenter: Asad Mehmood In our latest research, we introduce an innovative biometric identification method that combines the power of electrocardiogram (ECG) signals with heart sounds in the form of phonocardiogram (PCG) signals. Our findings reveal that by merging ECG and PCG data, we've achieved a remarkably accurate approach to person identification. Join us as we explore this exc...
Fall Detection System using Accelerometer Signal Analysis | Machine LearningFall Detection System using Accelerometer Signal Analysis | Machine Learning
Fall Detection System using Accelerometer Signal Analysis | Machine Learning
Переглядів 6179 місяців тому
Author and Presenter: Saad Areeb Summary: Falls pose a critical health risk, often resulting in severe injuries or fatalities and profoundly affecting individuals' quality of life. This study introduces an innovative machine learning-based fall detection system that utilizes a single low-cost accelerometer sensor to capture body acceleration signals during daily activities. This research presen...
Emotion Recognition using EEG Signal Analysis | Machine LearningEmotion Recognition using EEG Signal Analysis | Machine Learning
Emotion Recognition using EEG Signal Analysis | Machine Learning
Переглядів 2,5 тис.10 місяців тому
Author and Presenter: Muhammad Bilal Sajid Summary: In this study, we introduce a machine-learning model that recognizes emotions from Electroencephalogram (EEG) signals. Using the publicly available DREAMERs dataset, we identify 4 emotions - sadness, joy, anger, and pleasure. Our approach involves segmenting EEG signals into 30-second segments, noise reduction with a band-stop filter, and extr...
Machine Learning | Identification of Bronchiolitis and Bronchiectasis | Lung Sound AnalysisMachine Learning | Identification of Bronchiolitis and Bronchiectasis | Lung Sound Analysis
Machine Learning | Identification of Bronchiolitis and Bronchiectasis | Lung Sound Analysis
Переглядів 500Рік тому
Author and Presenter: Syed Ali Faraz Jaffrey Background: In this research, we developed a methodology to automatically identify lung disease through an intelligent system. ICBHI lungs sound database was used for this study. A total of 64 lung recordings, selected from three pulmonary classes namely normal, bronchiectasis and bronchiolitis were used for this purpose. After experiments, the perfo...
Bitwise shift operations in AVR Microcontroller | LED and Sensor Interfacing | AVR Programming in CBitwise shift operations in AVR Microcontroller | LED and Sensor Interfacing | AVR Programming in C
Bitwise shift operations in AVR Microcontroller | LED and Sensor Interfacing | AVR Programming in C
Переглядів 446Рік тому
In this video you will the following task featuring bitwise pin programming: Monitor door sensor connected to bit 1 of Port B such that when it opens, turn on the LED on PC.7, else LED is off. The simulation will also be verified through Proteus Software. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ua-cam.com/play/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4d...
I/O Programming of Pin and Ports in C | AVR Microcontroller Programming in CI/O Programming of Pin and Ports in C | AVR Microcontroller Programming in C
I/O Programming of Pin and Ports in C | AVR Microcontroller Programming in C
Переглядів 516Рік тому
In this video you will the following task featuring bitwise pin programming: Monitor bit 7 of Port B. If it is 1 make bit 4 of Port B input; otherwise, change pin 4 of Port B to output. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ua-cam.com/play/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3dK.html #microcontroller #arduino #arduinoproject #arduinomega #pic ...
Bitwise Pin Programming in C | LED and Sensor Interfacing | AVR MicrocontrollerBitwise Pin Programming in C | LED and Sensor Interfacing | AVR Microcontroller
Bitwise Pin Programming in C | LED and Sensor Interfacing | AVR Microcontroller
Переглядів 419Рік тому
In this video you will learn the following task featuring bitwise pin programming: Monitor door sensor connected to bit 1 of Port B such that when it opens, turn on the LED, else LED is off. The simulation will also be verified through Proteus Software. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ua-cam.com/play/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3...
Toggle Pin of Port B with Delay | Bitwise Programming in C | AVR Microcontroller ProgrammingToggle Pin of Port B with Delay | Bitwise Programming in C | AVR Microcontroller Programming
Toggle Pin of Port B with Delay | Bitwise Programming in C | AVR Microcontroller Programming
Переглядів 737Рік тому
In this video you will learn about how to toggle a certain bit of any Port continuously without disturbing three rest of the pins from the same Port. The simulation will also be verified through Proteus Software. AVR Programming Task in this Video: AVR C Program to toggle only bit 4 of Port B continuously without disturbing the rest of pins of that Port. For more videos related to Microprocesso...
Using Port as an Input with logic | AVR Microcontroller ProgrammingUsing Port as an Input with logic | AVR Microcontroller Programming
Using Port as an Input with logic | AVR Microcontroller Programming
Переглядів 432Рік тому
In this video you will learn about how to use any Port of an AVR microcontroller as an input with logic. A byte of data from one port will be conditionally sent to other ports of an AVR. The simulation will also be verified through Proteus Software. AVR Programming Task in this Video: Get a byte of Data from Port B. If the data is less than 100, send it to Port C; otherwise, send it to Port D. ...
Using Port as an Input | AVR Microcontroller ProgrammingUsing Port as an Input | AVR Microcontroller Programming
Using Port as an Input | AVR Microcontroller Programming
Переглядів 504Рік тому
In this video you will learn about how to use any Port of an AVR microcontroller as an input. A byte of data from one port will be sent to another port of an AVR. The simulation will also be verified through Proteus Software. For more videos related to Microprocessors and Microcontrollers, watch the following Playlist. ua-cam.com/play/PLxRh1iCA-FAQgY9_VmjdJlHoH1I4da3dK.html #microcontroller #ar...

КОМЕНТАРІ

  • @skkl8045
    @skkl8045 16 днів тому

    I fckn hate the fact that every hindu youtuber use english titles for hindi videos. Are you guys stupid? Use ur language

  • @abdulqadoos8476
    @abdulqadoos8476 16 днів тому

    Awsm ❤

  • @ashutoshjoshi7605
    @ashutoshjoshi7605 24 дні тому

    my board is not able to auto connect. im getting this error: ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210274532241A. Check cable connectivity and that the target board is powered up then use the disconnect_hw_server and connect_hw_server to re-register this hardware target. ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors.

  • @muhammadfarhan-ux8fd
    @muhammadfarhan-ux8fd 28 днів тому

    Mashallah Good job Bro hum apn Fyp project machine. Learning per ka raha han . Guidelines ka Lia app What's number send Kar dan. From University of wah.

    • @ElectroDeCODE
      @ElectroDeCODE 20 днів тому

      please contact us via out facebook page.

  • @amruthbhuyar7803
    @amruthbhuyar7803 29 днів тому

    Sir 8x8 matrix multiplication please

    • @ElectroDeCODE
      @ElectroDeCODE 29 днів тому

      Thanks for your comment. We will make that video very soon. stay connected.

  • @user-je2tt5th5m
    @user-je2tt5th5m Місяць тому

    Realy helpful video ❤‍🩹💫 Thank you so much sir .

  • @Aftabalam-xm7li
    @Aftabalam-xm7li Місяць тому

    Sir graph ko kis thera rotate karte hun

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Charts that support rotate mode typically display the rotate icon in the axes toolbar. You can also interactively explore data using built-in axes interactions that are enabled by default. For example, you can rotate the view of a 3-D axes by dragging the axes.

  • @user-ph2gx8gx7v
    @user-ph2gx8gx7v Місяць тому

    can you share the coding sir

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Thanks for your reply. See the comment below.

  • @ayushikotwal6293
    @ayushikotwal6293 Місяць тому

    can u plz give ur source code

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Please contact the admin via email or facebook.

  • @pushkarbarve6098
    @pushkarbarve6098 Місяць тому

    why did you write cout<<count<<endl; outside the while loop

  • @muhammedfayas5907
    @muhammedfayas5907 Місяць тому

    Hello Sir, can u please tell me how to access the BRAM in FPGA board, and how to store text file in the BRAM.

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      This is how it could be done: module bram_example ( input wire clk, input wire we, input wire [addr_width-1:0] addr, input wire [data_width-1:0] din, output wire [data_width-1:0] dout ); parameter addr_width = 10; parameter data_width = 8; // BRAM instantiation reg [data_width-1:0] bram [(2**addr_width)-1:0]; reg [data_width-1:0] dout_reg; always @(posedge clk) begin if (we) begin bram[addr] <= din; end dout_reg <= bram[addr]; end assign dout = dout_reg; endmodule

  • @nidatasneem1792
    @nidatasneem1792 Місяць тому

    excellent

  • @zezo2895
    @zezo2895 Місяць тому

    Thank you sir❤

  • @deepeshbhattarai2
    @deepeshbhattarai2 2 місяці тому

    Hello Where can you provide me your code zip file?

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Thanks for your reply. See the comment below.

  • @iqraaftab3081
    @iqraaftab3081 2 місяці тому

    Outstandig

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Thanks and keep watching for more exciting stuff.

  • @VikasDwivedi-li9md
    @VikasDwivedi-li9md 2 місяці тому

    thaq very much sir...aap jaise channel ki jrurat hai aap se pdne ke bad puri trf se concpt smjh me aaya

  • @syedraziuddin9889
    @syedraziuddin9889 2 місяці тому

    good

  • @TheTrooper1878
    @TheTrooper1878 2 місяці тому

    why put english title when the video is not in english?

  • @kar-dt1hk
    @kar-dt1hk 2 місяці тому

    verg good video,can you please send me this code

  • @HamasAlesha_456
    @HamasAlesha_456 2 місяці тому

    Sister

  • @SenayudhaS
    @SenayudhaS 2 місяці тому

    why mine is inverted

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Check your connections carefully.

  • @sonalpal7821
    @sonalpal7821 2 місяці тому

    Wow thanks good expernation from India ❤❤

  • @Wardahbatool_
    @Wardahbatool_ 3 місяці тому

    So proud of you.... keep being awesome girly 🎉❤

  • @rabianaseer9808
    @rabianaseer9808 3 місяці тому

    Keep shining roll no. 1😌🫂

  • @fabrute7345
    @fabrute7345 3 місяці тому

    More powers to u girl❤❤

  • @malaikasumble2637
    @malaikasumble2637 3 місяці тому

    So proud of u Girl keep growing❤️

  • @BASHA6365
    @BASHA6365 3 місяці тому

    Videos are not Uploading From you.What Happened?

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Noted. we will upload new videos soon. keep watching and supporting. thanks.

  • @karthiktammali2423
    @karthiktammali2423 3 місяці тому

    Hello sir Can I get the source code

  • @biswojyotikhandual
    @biswojyotikhandual 3 місяці тому

    brother can you give the code for transformer vibration fault detection using machine learning

  • @junaidbutt9513
    @junaidbutt9513 3 місяці тому

    For loop ki smj ni ae

  • @balajiprithviraj5145
    @balajiprithviraj5145 3 місяці тому

    Sir one doubt, in the test bench code you have given A=3'b0011 which not correct na? You have to give A=4'b0011 right? But still the code worked properly?

  • @user-mw9nw5nc6i
    @user-mw9nw5nc6i 3 місяці тому

    we are waiting for 8 bit flipflop and more, please start making video again on verilog

  • @aamirali6976
    @aamirali6976 4 місяці тому

    Thanks Sir. Appriciated

  • @iftikharalimemon
    @iftikharalimemon 4 місяці тому

    Good work dear

  • @1batch2batch
    @1batch2batch 4 місяці тому

    Sir aapne bahot hi badhiya knowledge share Kiya hain. Sukriya ❤

  • @chass7050
    @chass7050 4 місяці тому

    Buy a good mic lil bro

  • @DesperoxLoL
    @DesperoxLoL 4 місяці тому

    Great work! can u please share the code with us?

  • @zainasat
    @zainasat 5 місяців тому

    thank you

  • @ygspoetrycollection3684
    @ygspoetrycollection3684 5 місяців тому

    I love you sir❤

  • @sinemkaya7132
    @sinemkaya7132 6 місяців тому

    İs there an article for your work ?

  • @shraddhakatara7131
    @shraddhakatara7131 6 місяців тому

    i have nothing in my workmodule what to do now

  • @saikrishna1444
    @saikrishna1444 6 місяців тому

    Can you provide the source code?

  • @mvp-_-sohaib6461
    @mvp-_-sohaib6461 6 місяців тому

    thanks

  • @technologymix4709
    @technologymix4709 6 місяців тому

    Very impressive teaching technique

  • @ranajameel8452
    @ranajameel8452 6 місяців тому

    Can you share an 8- bit multiplier

    • @ElectroDeCODE
      @ElectroDeCODE Місяць тому

      Noted. A new video will be uploaded soon.

  • @mattnaganidhi942
    @mattnaganidhi942 6 місяців тому

    Interesting 🤔

  • @aboueltayebyahiaoui6053
    @aboueltayebyahiaoui6053 6 місяців тому

    ty my friend but where is the code ?

  • @mohamed_abdelkhalek
    @mohamed_abdelkhalek 6 місяців тому

    what is the meaning of each peak in th signal? in 7:05

  • @AtifAli-sr8sh
    @AtifAli-sr8sh 6 місяців тому

    Good

  • @youcefbacha623
    @youcefbacha623 6 місяців тому

    THANK YOU 😊🤩😋