OpenROAD: The journey so far and roadmap (Andrew Kahng)

Поділитися
Вставка
  • Опубліковано 14 вер 2023
  • OpenROAD is an open-source RTL-to-GDS tool that generates manufacturable layout from a given hardware description - in 24 hours, at advanced foundry nodes. OpenROAD lowers the cost, expertise and schedule barriers to hardware design, thus providing a platform for research, education and system innovation. This talk will first review highlights and lessons learned from OpenROAD’s 5-year journey since June 2018. The talk will then present current status and challenges, as well as a roadmap for OpenROAD as it seeks to enable VLSI/EDA education, early design space exploration for system designers, research on machine learning in EDA, and more.
  • Наука та технологія

КОМЕНТАРІ • 1

  • @hritammitra7836
    @hritammitra7836 10 місяців тому +4

    Very very good initiative for VLSI aspirants like me,
    As a student of ECE, it's very helpful.
    My earnest request to the makers of OPENROAD please make proper tutorial videos.
    It will be very helpful for us.