Construction of Mealy Machine

Поділитися
Вставка
  • Опубліковано 12 січ 2017
  • TOC: Construction of Mealy Machine
    Topics discussed:
    1. Construction of a Mealy Machine that produces 1's Complement as the output of any given binary input string.
    2. Construction of a Mealy Machine that prints 'a' whenever the sequence '01' is encountered in any input binary string.
    Contribute: www.nesoacademy.org/donate
    Website ► www.nesoacademy.org/
    Facebook ► goo.gl/Nt0PmB
    Twitter ► / nesoacademy
    Pinterest ► / nesoacademy
    Music:
    Axol x Alex Skrindo - You [NCS Release]
    • Axol x Alex Skrindo - ...

КОМЕНТАРІ • 115

  • @avneetsingh9725
    @avneetsingh9725 5 років тому +161

    heard that voice , checked if i'm on right channel or not !

  • @dinushachathuranga7657
    @dinushachathuranga7657 4 місяці тому +2

    Bunch of thanks for the crystal clear explanations❤❤❤

  • @dmwmm
    @dmwmm 7 років тому +37

    You, Sir, are a legend for doing this. Your videos on these topics are soo good, the best out there on youtube, probably the best on the internet.

    • @gateprep8076
      @gateprep8076 4 роки тому

      Watch TOC videos of RavindraBabu Ravula. 😁😁

    • @imho2278
      @imho2278 3 роки тому

      Ben Eater is better, he doesn't read every sentence twice.

    • @SwatejTech
      @SwatejTech 11 місяців тому

      @@gateprep8076 Neso Academy >>>>>>>>>>>>

  • @madhusmitasahu3737
    @madhusmitasahu3737 7 років тому +24

    This sub was new to me...after i hav been watching ur lecture series..the confidence is also multiplied itself.u r d best online teacher i hav ever felt.

  • @kameltouati5476
    @kameltouati5476 Рік тому +1

    Thanks a lot, your really helped me with my exam tomorrow. Wish you the best xoxo

  • @kulkarniprabhakar290
    @kulkarniprabhakar290 3 роки тому +14

    Hail the *king* 👑 he makes things simpler ❤

  • @fahimaslam6829
    @fahimaslam6829 5 років тому +3

    Thankeo so much sir..i appreciate you for this great work..

  • @taha7shaikh
    @taha7shaikh 10 місяців тому +2

    Thank you so much, amazing explanation, you made it very lucid to grasp

    • @krushnashinde1623
      @krushnashinde1623 10 місяців тому

      Tu padhi Mata kara rey chupke chupke 😂

    • @E2_1B
      @E2_1B 7 місяців тому +1

      ​@@krushnashinde1623friend ko pakad liya kya pdhte hue?

  • @indhumathi4783
    @indhumathi4783 Рік тому +1

    Thank you sir 👍

  • @omkarsuralkar8099
    @omkarsuralkar8099 Рік тому +1

    Thank You💙

  • @chavaligangadevi8663
    @chavaligangadevi8663 Рік тому +1

    Good explanation sir

  • @RishikavsAnnie
    @RishikavsAnnie 4 роки тому +19

    Why did you make a DFA for a machine accepting 01 at the end only ? Why not anywhere in the string ?

    • @hema697
      @hema697 4 роки тому +1

      Yes, even I didn't get this

    • @NVAJRALAVENKATESWARLU
      @NVAJRALAVENKATESWARLU 3 роки тому +8

      It prints 'a' whenever 01 encountered ,even if it is on the middle of the string or starting of the string or ending of the string . 000001 prints 'a' one time because 01 encountered at last of string . 0101000011110000001 prints 'a' three times as 01 encountered in starting position ,third position and last position. Hope you understand.

    • @marxman1010
      @marxman1010 2 роки тому +2

      The DFA accepts strings with 01 at the end but doesn't deny 01 in the middle.

  • @varshinitapse7577
    @varshinitapse7577 9 днів тому

    Tom is final exam n i thought of skipping moore n mealy thinking its difficult bt who knew jst spending 20 mins watching ur vdo wud make me attend tis question in exam fr sure.😭

  • @arpitdwivedi354
    @arpitdwivedi354 4 роки тому +1

    i like your teaching technique sir #fann

  • @andythomson2227
    @andythomson2227 3 роки тому +1

    thanku sir💓

  • @himanshugehlot7348
    @himanshugehlot7348 Рік тому +3

    I have a doubt why we construct transition C(1/b) --> A,
    rather than not construct self loop at C for this transition C(1/b --> C)..? is it fine(Self loop)..?

  • @AsmaRasool_
    @AsmaRasool_ 6 років тому +1

    your way of construction is very satisfying. thank you sir :)

  • @Billionaire_Wings
    @Billionaire_Wings 5 років тому +7

    sir at state c we can use a selfloop because u say that the string should be any where .... and at state c our string o1 is comming in starting..so why we cant use selfloop of 1 and 0 at state c...plzz reply sir

    • @mohittiwadi2738
      @mohittiwadi2738 5 років тому +2

      Bcoz when u reach final state u must accept the string 01 ....bcoz in this sir first explain dfa and then mealy machine and in dfa u only accept the string which is given when you are on final state...

  • @user-ng8rl3jb1i
    @user-ng8rl3jb1i Рік тому +5

    in 5:20 could we have 2 states A and B? Untill B is the same but when we get an 1 we go to A with output a

    • @keremsusam1434
      @keremsusam1434 Місяць тому

      Yes when you do the state minimization you get actually 2 states

  • @CaptainXD
    @CaptainXD 7 років тому +59

    Instead of having a C state, couldn't you just have the 1/a transition go back to A?

    • @AlintraxAika
      @AlintraxAika 6 років тому +10

      Indeed, I think he didn't ignore the 'C' state because in the Moore example he did the same machine and the difference between the Moore and Mealy machines became clear, but he should've said that you could ignore the 'C' state anyway.

    • @yashwanthg9818
      @yashwanthg9818 5 років тому +7

      Yeah. We can do it with just two states. Output can be displayed when 1 is encountered and goes to starting state

    • @udbhavvikramsingh3449
      @udbhavvikramsingh3449 3 роки тому +2

      Exactly that what i did make

  • @nabhavlogs371
    @nabhavlogs371 5 років тому +11

    for ex 2 I was able to draw mealy machine in 2 states with:
    A: 1/b(A) ,0/b (B)
    B:0/b(B),1/a(A)
    here i am representing input/output(state reached). Correct me if i am wrong.

    • @aakashkumarsingh4840
      @aakashkumarsingh4840 4 роки тому +2

      You are absolutely right.

    • @matheusrambo8760
      @matheusrambo8760 3 роки тому

      I did the same as you. I pause the video before the solution, so I can practice, then, I got the same solution that you. I thought that I was wrong ...

    • @banibratamanna5446
      @banibratamanna5446 Рік тому

      actually the DFA given by sir is easy for those who just know about what a DFA is...as mealy or moore machine have no final states but only outputs.....if the DFA given by sir is minimized then your DFA can be obtained....both are correct but yours is minimized...nothing else...you can check it......

    • @akshatarathod7613
      @akshatarathod7613 11 місяців тому

      Me too

  • @BeWithRoja
    @BeWithRoja 6 років тому +2

    Super sir
    Thank you sir

  • @muhammadhayan118
    @muhammadhayan118 7 місяців тому

    What a background music 😂😂 still waiting part 2 on Kamran Bagraa😂😂❤❤❤

  • @supersakib62
    @supersakib62 Рік тому

    Cool!

  • @jaredgibson3785
    @jaredgibson3785 5 років тому +55

    bring back the other guy

  • @awesomedude824
    @awesomedude824 3 роки тому +23

    Can't this be done just with 2 states?
    A +1-> A, A+0-> B, B+0->B, B+1->A (outputs 'a') .

  • @kushagrame
    @kushagrame 3 роки тому +5

    10100 sign magnitude is 00100
    10100 1's complement is 01011
    10100 2's complement is 01100

  • @harshitanag2452
    @harshitanag2452 4 роки тому +4

    in 1st example, why is A not marked as final state?

    • @harshitanag2452
      @harshitanag2452 4 роки тому +6

      ok got it! Mealy machine doesn't accept or reject, that's why.......You should have explained that point also!!! Tell each and every point. Don't leave gaps!

  • @prashantkannojia3127
    @prashantkannojia3127 7 років тому +4

    sir, please upload videos on microprocessor and microcontrollers

  • @sageguru3654
    @sageguru3654 3 роки тому

    Does Mealy and Moore Machine having Dead State

  • @yashwanthd1998
    @yashwanthd1998 5 років тому

    Why no dead state only self transition

  • @Gagandeep-sp1wf
    @Gagandeep-sp1wf 4 роки тому +6

    Sir, if on input 1 c goes to c is that ok or not??? at timestamp 5.18

  • @thelasttimeitookashowerwas7069
    @thelasttimeitookashowerwas7069 6 років тому +74

    i dont know why but i was a little disappointed in hearin a different voice from the usual lectures i have been seeing

  • @daniyaljutt9069
    @daniyaljutt9069 3 роки тому

    Another example on melay machine with table

  • @eimaldorani
    @eimaldorani 7 років тому +1

    @05:12 what if we write 𝛿(C,1)---->C/b!?

  • @pragnareddymosra5523
    @pragnareddymosra5523 2 роки тому +1

    For 0110 we are not getting final state C ,bases on your construct DFA

    • @engineeringsolved
      @engineeringsolved Рік тому

      Nah it prints a like see it would give a once it reaches 01 but won't print a for 10

  • @thevitruvianman9781
    @thevitruvianman9781 3 роки тому

    Why isn’t this in digital electronics playlist?

  • @daniyaljutt9069
    @daniyaljutt9069 3 роки тому

    Give example on melay machine

  • @chandrasai1990
    @chandrasai1990 7 місяців тому

    Sir you sound very similar to mahesh babu(telugu actor),

  • @MrMrKingfisher
    @MrMrKingfisher 5 років тому +3

    6:43 could you also say "let's print c because i don't care what it prints here?"

    • @manosriram
      @manosriram 4 роки тому +3

      No, because the Language is {a, b}.

  • @manojharshavardhan2385
    @manojharshavardhan2385 3 роки тому

    What subject is this, it's not the general digital electronics

  • @patrickFREE.
    @patrickFREE. 5 років тому

    why is a 'b' if it go from c to b?

    • @patrickFREE.
      @patrickFREE. 5 років тому

      7:00 is also a 'b' when c goes to a

  • @nelavallijagadeesh4814
    @nelavallijagadeesh4814 7 років тому +2

    sir why don't you upload all branches videos

  • @camilotello3296
    @camilotello3296 2 роки тому

    This is nice...

  • @shivammahajan2534
    @shivammahajan2534 7 років тому +2

    shouldn't we use the double circle around 'C' to signify it being the final state?

    • @vishwajeetkumar8866
      @vishwajeetkumar8866 6 років тому +1

      Not required, the question is about getting any 01 in between the strings and whenever that happens you should print 'a'

    • @suraijbnmuhyudeen1004
      @suraijbnmuhyudeen1004 6 років тому +1

      sir
      if we writing 1/a it is like a moore machine,input/out put?

  • @vaibhavkhandelwal4031
    @vaibhavkhandelwal4031 6 років тому +4

    dfa in ex 2 can not accept string '011'.

    • @ghulamrasool3588
      @ghulamrasool3588 5 років тому

      right

    • @backslash8874
      @backslash8874 5 років тому +4

      There is no factor of acceptance here...we are just concerned about the output the machine would produce...

  • @zayanali3402
    @zayanali3402 6 років тому +1

    nice sir

  • @arundas6746
    @arundas6746 5 років тому +1

    does mealy machines contains output null value

  • @csprabhakar3861
    @csprabhakar3861 5 років тому +1

    Dont we need to double circle the final state in these machines??

    • @backslash8874
      @backslash8874 5 років тому +1

      No not...there is no final state in Mealy Machine, since it focuses only on the outputs it produce.There is no factor of acceptance as such !!!

  • @GinkhansiamGuite
    @GinkhansiamGuite Рік тому

    kipah huai mahmah ei

  • @Name-pn5rf
    @Name-pn5rf 5 років тому +3

    In first example, shouldn't A be the final state also?

    • @w1ndro1d
      @w1ndro1d 5 років тому +1

      This is not DFA design.
      In Mealey models, you don't specify whats your starting or final states. You just care about your input and output.

    • @somyajitnath6303
      @somyajitnath6303 5 років тому

      @@w1ndro1d But start symbol is necessary i guess, unless how'd you know where to start from???... Also can u explain why there's no final state...why isn't it necessary here????

    • @w1ndro1d
      @w1ndro1d 5 років тому

      @@somyajitnath6303 start symbol is required but no final state indication is necessary when you construct Mealy machines. You just write input and transfer flow accordingly, unlike in DFA.

  • @Amit.Kumar03
    @Amit.Kumar03 7 років тому +3

    micoprocessor 8085 ka lecture plz upload karvaiye

  • @vimalsheoran8040
    @vimalsheoran8040 5 років тому +3

    In the last example a third state C is not required. We can solve it in two states, did anyone else also come up with this?

    • @theash307
      @theash307 5 років тому

      then you must have formed an NFA...cuz using DFA its not possible.....i could be wrong ...but i tried and couldnt

  • @mohansai7169
    @mohansai7169 7 років тому +5

    example 2 was wrong
    you have explained for the string ending with 01
    and the question was that the string should contain 01

    • @vishalpanchidi4538
      @vishalpanchidi4538 7 років тому +3

      Mohan Sai Aree stupid , see properly he actually gave a loop af 1 on A. so we can start string any of the ways.

    • @Abhijeet1403199
      @Abhijeet1403199 6 років тому +2

      But still why are we supposed to end it with 01, the question doesn't ask for it, this just reduces the no. of possible valid input strings.

    • @user-em9mw9ch3y
      @user-em9mw9ch3y 5 років тому

      Abhijeet Singh
      there is no final state so, the final state can be A/B/C ..isnt it ?
      so it doesn't have to end in C with ending string 01

    • @w1ndro1d
      @w1ndro1d 5 років тому +1

      Yes, the sequence can be encountered anywhere within the states. So this is like a question of DFA design where your string contains substring '01'.
      Or even better, remove state C completely. And use only two states A and B. On getting 1 on B, come back to A with output 1.

  • @shashankchaturvedi8697
    @shashankchaturvedi8697 6 років тому +4

    On getting input 1 on C state why u just go to state A cant we use the self loop in C on getting 1.???

    • @bahaatamer1245
      @bahaatamer1245 5 років тому +1

      if you loop the C, how exactly would you re-check for more inputs?

  • @aravindersuram6920
    @aravindersuram6920 6 років тому

    Moore machine string are 012

  • @ghulamrasool3588
    @ghulamrasool3588 5 років тому

    last example is not suitable in a case when we repeat 01 again in our input like 0101101
    so this machine gives us wrong output so chek it again

    • @backslash8874
      @backslash8874 5 років тому +4

      How does it gives a wrong output ???
      according to your example, the output should be bababba and that's what the machine is giving...

  • @amoghmp9250
    @amoghmp9250 6 років тому

    Sir what is DFA?

  • @anonymous_maximus8180
    @anonymous_maximus8180 5 років тому +2

    Sir, can you please tell me how to design a bank locker system using sequential circuits? The logic is...when we enter our bank locker code, then within 5seconds the bank manager must enter the password which matches our bank locker. If both passwords matches then only the bank locker opens and led must glow green. Otherwise if the bank manager didn't entered his password or our key mismatched then red led must glow and locker does not opens

  • @lyrichives7859
    @lyrichives7859 6 місяців тому

    here

  • @gabrielsiqueira4384
    @gabrielsiqueira4384 3 роки тому +3

    couldn't you do Ex-2 with just 2 states?

  • @neiljohn2637
    @neiljohn2637 2 роки тому

    28

  • @panostzakis6925
    @panostzakis6925 2 роки тому +1

    Thanks for your video excellent work.But i have this question for you sir?
    module patternMealy(input logic clk,
    input logic reset,
    input logic a,
    output logic y);
    typedef enum logic {S0, S1} statetype;
    statetype state, nextstate;
    // state register
    always_ff @(posedge clk, posedge reset)
    if (reset) state

    • @Tanwar59
      @Tanwar59 Рік тому

      bhai mila kya answer

    • @Tanwar59
      @Tanwar59 Рік тому

      do you get the answer??

    • @jaishriram6602
      @jaishriram6602 Рік тому

      ​@@Tanwar59 abe chatgpt hai ab toh kuch dimag lagale😂.

    • @jaishriram6602
      @jaishriram6602 Рік тому

      ​@@Tanwar59 usko nahi mila toh tujhe toh mil sakta haina chatgpt will help