- 3
- 8 347
Fast Walkthrough
Canada
Приєднався 11 кві 2022
Microblaze & Ethernet on ARTY A7
A fast walkthrough of the Microblaze implementation on ARTY A7 with the Ethernet & UART interface.
Переглядів: 5 159
Відео
Multi-Touch Display Shield (MTDS) & Microblaze on ARTY-A7
Переглядів 1092 роки тому
A fast walkthrough of the Microblaze implementation on ARTY A7 with Multi-Touch Display Shield (MTDS).
Microblaze & UART on ARTY A7
Переглядів 3,1 тис.2 роки тому
A fast walkthrough of the Microblaze implementation on ARTY A7 with the UART interface.
Thank you for the video!
Why after all years, Digilent don't even bother to fix this tutorial ?
very good
Great concept, but you should explain the purpose of each step as you go along, instead of playing music in the background that most of us are going to mute anyway
ME THANK YOU BRO
Excellent bro. Clear-cut with speed... Keep going... Can I implement same in ZEDBOARD to receive external sensor data
Hi! I followed the tutorial but nothing comes out in the Serial port. In contrast the UART guide in ua-cam.com/video/AlFKwqYTxtQ/v-deo.html works!!!
great work bro..currently I am doing my project in arty 100t ..can you give your any Id or phone no for clearing doubts..
nice project bro..
Hi, I followed the tutorial and got a bitstream generation error: "[DRC RTRES-1] Backbone resources: 1 net(s) have CLOCK_DEDICATED_ROUTE set to BACKBONE but do not use backbone resources. The problem net(s) are clk_out1" Any ideas? Many thanks
i have come across the same issue, cant find a solution.
I hade the same issue but only in 2021.1 I installed 2022.2 and then the problem was gone. I'm also using Arty A7-35 so first I thought it was related to that, but in 2022.2 it worked fine also Arty A7-35 . I never tried 2021.2 as used in the youtube
@@kristianvangjrgensen1855 I have the A7-100. However, your solution might be correct. Anyways, another solution was proposed in here forum.digilent.com/topic/24157-arty-a7-100t-ethernet-bitstream-generation-error-vivado/
I was struggling with the same issue. I am using vivado 2023.1. I modified the arty_eth.xdc file as below and succeeded in generating the bit file. set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { eth_ref_clk }]; set_property CLOCK_DEDICATED_ROUTE TRUE [get_nets TOP_ARTY_ETH_i/clk_wiz_0/inst/clk_out166]; set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { sys_clock }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { sys_clock }]; I used the link below as a reference. electronics.stackexchange.com/questions/661711/error-drc-rtres-1-backbone-resources-1-nets-have-clock-dedicated-route-se forum.digilent.com/topic/21124-usb104a7-ddr3-backbone-clock-error-issue/#comment-62976
@@서정훈-l1x really working ,thank you
Thank you its very good
perfect video thanks