whyRD
whyRD
  • 203
  • 1 328 322
Verilog in Action | Practical Application of FSM to Model Digital Circuits
To join our Part 2 of Verilog Practice: www.whyrd.in/s/store
Today's video resources:
All course links: whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiasts-in-nptel-july-2024-semester
To personally connect with me, follow me on :
LinkedIn- www.linkedin.com/in/rajdeep-mazumder
Instagram- rajdeep.jgd
________________________________________
Watch Next:
VLSI interview prep series: ua-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html
VLSI Podcast: ua-cam.com/play/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw.html
VLSIgayan: ua-cam.com/play/PL0E9jhuDlj9p-Hy38LUXEtM87OMBuz06l.html
Start a VLSI project: ua-cam.com/video/OXbWBfvZxEI/v-deo.html
Verilog roadMap: ua-cam.com/video/vRSY6S03EFg/v-deo.html
#whyrd #vlsi #verilog
Disclaimer: The following video and its contents are presented for informational purposes only. The author of this video has made every effort to provide accurate and up-to-date information based on their best knowledge and research available at the time of recording. However, the author cannot guarantee the absolute accuracy, completeness, or timeliness of the information presented.
it is important to note that the views, opinions, and interpretations expressed in this video solely belong to the author and do not necessarily reflect the views of their employer or any other organization with which they may be affiliated.
Viewers are reminded to exercise their own critical thinking and judgment when consuming the information presented in this video. The author , shall not be held responsible for any losses, damages, or consequences arising from the use or misuse of the information contained herein.
Переглядів: 1 955

Відео

NPTEL JULY2024
Переглядів 10 тис.3 місяці тому
To join our Part 2 of Verilog Practice: www.whyrd.in/s/store Today's video resources: All course links: whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiasts-in-nptel-july-2024-semester To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ua-cam.com/play/PL0E9jhuDlj9qdn1jjEbrME...
One commonly asked Verilog Interview Question | VLSI Puzzle | Solve with me | HDLbits
Переглядів 3 тис.7 місяців тому
To join our Part 2 of Verilog Practice : www.whyrd.in/s/store Day31: www.whyrd.in/s/store Today's video resources: HDLbits : hdlbits.01xz.net/wiki/Main_Page To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ua-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: ...
My Story: How I Switched from a Software Engineer role to an Electronics Core VLSI job
Переглядів 5 тис.7 місяців тому
Visit : Gate : www.rlcgate.com/ My website : www.whyrd.in/ To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ua-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: ua-cam.com/play/PL0E9jhuDlj9pHvtZ0ukqixrvHH60cagnw.html VLSIgayan: ua-cam.com/play/PL0E9jhuDlj9p-H...
#VLSI_Clips: One of the Best way to For ECE BTech VLSI Aspirants
Переглядів 3,7 тис.9 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store A Bachelor's degree in Engineering (BTech) is enough to kickstart your career in VLSI Core. Follow Ajay here: its_ajaygupta1 To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series : ua-cam.com/play/PL0E9jhuDlj9qdn1jjE...
Best VLSI & AI courses available in NPTEL JANUARY 2024 semester
Переглядів 8 тис.9 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store All course links : whyrd.graphy.com/blog/best-courses-for-vlsi-enthusiast-in-nptel-jan-2024-semister To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ua-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: ua-ca...
8 Action Point to be Market Ready in 2024 | Semiconductor Industry | VLSI |Core Electronics
Переглядів 12 тис.9 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store For VLSI Aspirants, what is the best action point for 2024? Resources from this video : Visit My website: www.whyrd.in esim : esim.fossee.in/ Fossee: fossee.in/events/past conference locate : www.clocate.com/getresults.php?mod=0&event=vlsi&co=IN&xcs=xs-493 conference alert : www.clocate.com/getresults.php?mod=0&event=vlsi&co=IN&xcs=xs-493 Semic...
These 4 Book is Enough for Best ever 2024 | whyRD
Переглядів 1,4 тис.10 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store The Best 4 non-technical Books for Engineers! Books links Looking Inward: amzn.to/3v9s8c6 Automatic Habits: amzn.to/3TnTOnS Deep Work: amzn.to/3uX0I9k Courage to be disliked: amzn.to/4anvs3w To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI intervie...
VLSI Engineers Work Culture | 70 Hours Work Week is Feasible | Time Management
Переглядів 3 тис.10 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store Are you using your time perfectly? How do I Manage my time? To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interview prep series: ua-cam.com/play/PL0E9jhuDlj9qdn1jjEbrMEOotTLkQa-q_.html VLSI Podcast: ua-cam.com/play/PL0E9jhuDlj9pHvtZ0ukqixrvHH60c...
What is AI ? | Tech Term Simplify | Explained to Any One |
Переглядів 36510 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store Will our circuit knowledge help us to master AI Technology? All Resources mentioned in video : whyrd.graphy.com/products/Free-Resources-for-AI Neuromorphic Quantum-Com-654f575f80b6a16838882870?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-maz...
Best VLSI courses available in NPTEL JANUARY 2024 semester (PART1)
Переглядів 10 тис.10 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store All Resources in this video : whyrd.graphy.com/products/Electronics-Engineer-opportunities-novdec2023-655222269615bd3ab346f1ad?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interv...
VLSI Workshop | Robotics Challenge | Multiple Free online Courses for VLSI & AI
Переглядів 5 тис.11 місяців тому
Learn Verilog with Practice : www.whyrd.in/s/store All Resources in this video : whyrd.graphy.com/products/Electronics-Engineer-opportunities-novdec2023-655222269615bd3ab346f1ad?dgps_s=pbl&dgps_u=c&dgps_uid=65167778e4b05c9579e893ec&dgps_t=cp_m To personally connect with me, follow me on : LinkedIn- www.linkedin.com/in/rajdeep-mazumder Instagram- rajdeep.jgd Watch Next: VLSI interv...
Future of ELECTRONICS Engineers | AI, Neuromorphic & Quantum Computing EXPLAINED as VLSI Engineer
Переглядів 3,6 тис.11 місяців тому
Future of ELECTRONICS Engineers | AI, Neuromorphic & Quantum Computing EXPLAINED as VLSI Engineer
Must Do for BTech Student #vlsi #shorts
Переглядів 94911 місяців тому
Must Do for BTech Student #vlsi #shorts
As an ECE BTech Student, how to be on the VLSI track | VLSI Podcast with whyRD
Переглядів 10 тис.11 місяців тому
As an ECE BTech Student, how to be on the VLSI track | VLSI Podcast with whyRD
Common VLSI Interview Question | How to approach them | VLSI clock domain #1 #shorts #vlsi #whyrd
Переглядів 69511 місяців тому
Common VLSI Interview Question | How to approach them | VLSI clock domain #1 #shorts #vlsi #whyrd
Fab-Less or Fab-Lab: Which One is the Best Fit? | whyRD, homes of Electronics Core
Переглядів 2 тис.Рік тому
Fab-Less or Fab-Lab: Which One is the Best Fit? | whyRD, homes of Electronics Core
Are Low CGPA Harmful ? College Grade Myths and Winning Strategies | Electronics Core Jobs
Переглядів 3 тис.Рік тому
Are Low CGPA Harmful ? College Grade Myths and Winning Strategies | Electronics Core Jobs
2's Complement | 30 Days of Verilog Coding | Day 30
Переглядів 2,7 тис.Рік тому
2's Complement | 30 Days of Verilog Coding | Day 30
Verilog codes from KMap | 30 Days of Verilog Coding | day 29
Переглядів 1,5 тис.Рік тому
Verilog codes from KMap | 30 Days of Verilog Coding | day 29
Bus Multiplexer Design | 30 days of VERILOG coding | Day 28
Переглядів 1,5 тис.Рік тому
Bus Multiplexer Design | 30 days of VERILOG coding | Day 28
Design controller for Thermostat | Verification | 30 Days of Verilog | Day 27
Переглядів 1,1 тис.Рік тому
Design controller for Thermostat | Verification | 30 Days of Verilog | Day 27
Ring or Vibrate | 30 Days of Verilog Coding | Day 26
Переглядів 1 тис.Рік тому
Ring or Vibrate | 30 Days of Verilog Coding | Day 26
Verilog Module Instantiation & Routing | 30 Days of Verilog Coding | Day 25
Переглядів 1,2 тис.Рік тому
Verilog Module Instantiation & Routing | 30 Days of Verilog Coding | Day 25
Magic of K-Map | 30 Days of Verilog Coding | Day 24
Переглядів 1,2 тис.Рік тому
Magic of K-Map | 30 Days of Verilog Coding | Day 24
Digital Design using truth table | Let's Learn Verilog with Real-time Practice with Me | Day 23
Переглядів 1,6 тис.Рік тому
Digital Design using truth table | Let's Learn Verilog with Real-time Practice with Me | Day 23
Application of Verilog Generate Block | Lets Learn Verilog with real-time Practice with Me | Day 22
Переглядів 2,1 тис.Рік тому
Application of Verilog Generate Block | Lets Learn Verilog with real-time Practice with Me | Day 22
Count no of 1 | Lets Learn Verilog with real-time Practice with Me | Day 21
Переглядів 2 тис.Рік тому
Count no of 1 | Lets Learn Verilog with real-time Practice with Me | Day 21
Verilog For loop : can we synthesis it ? Day 20
Переглядів 2,4 тис.Рік тому
Verilog For loop : can we synthesis it ? Day 20
Reduction Operator | Lets Learn Verilog with real-time Practice with Me | Day 19
Переглядів 1,7 тис.Рік тому
Reduction Operator | Lets Learn Verilog with real-time Practice with Me | Day 19

КОМЕНТАРІ

  • @lordsdayanidozie
    @lordsdayanidozie День тому

    19:57 did you assume the gain of 5dB?

  • @PRASANTADEBNATH-y4o
    @PRASANTADEBNATH-y4o 8 днів тому

    Can somebody tell me what about the exact fee of m.tech in IISC..

  • @manojk6339
    @manojk6339 10 днів тому

    Can you also make a video of you teaching in hindi.

  • @nekkantichakradhar9176
    @nekkantichakradhar9176 10 днів тому

    hi i have done my masters in computer science in us and got an oppurtunity as a silicon test engineering we call it post silicon validation internship i have a basic knowledge on electronics but not in depth could you please tell me what are the courses i need to learn to make my base strong and grow in this particular ATE test engineering domain thank you

  • @intelligence6743
    @intelligence6743 10 днів тому

    vector 0 quesstion answer is not showing right in mine pc i am doing same on the same site and same coding but the answer is not success

  • @darya2615
    @darya2615 11 днів тому

    УЧИМ ВЕРИЛОГ ВСЕЙ 108 СПАСИБО ОТ БУРЯМОКВА

  • @thelikith
    @thelikith 11 днів тому

    1000th comment

  • @RajibSutradhar-q1v
    @RajibSutradhar-q1v 13 днів тому

    This guy is really a gem. 💙From NIT Silchar

  • @sangeetharamesh9979
    @sangeetharamesh9979 13 днів тому

    module clock_gen(output reg clock); initial clock = 1'b0; always #10 clock = ~clock; Endmodule

  • @bellalasaisampath2741
    @bellalasaisampath2741 14 днів тому

    can we do the same by LTspice also( LTspice then magic vlsi)? ( as this softwaare can work in windows)

  • @harshnaik6989
    @harshnaik6989 15 днів тому

    brain washed girl

  • @koushiksen9754
    @koushiksen9754 15 днів тому

    Agaar koi digital domain pe kuch saal job kiya usk bad agar analog me jana chaha to kya digital ka job as a relevant exp count hoga?

  • @mohammedriyaz4766
    @mohammedriyaz4766 15 днів тому

    👍

  • @shamsherlykhanpathan7489
    @shamsherlykhanpathan7489 16 днів тому

    module top_module ( input [1:0] A, input [1:0] B, output z ); always @(*) begin case({A,B}) 4'b0000: z=1; 4'b0101: z=1; 4'b1010: z=1; 4'b1111: z=1; default : z=0; endcase end endmodule thank you brother for making me use my brain to use concatenation here..... i found the above one to be the best alternative as we dont have grouping of kmps.

  • @aditya_doiphode
    @aditya_doiphode 16 днів тому

    reached to last lecture 5th oct 2024

  • @abdo-zm9cx
    @abdo-zm9cx 16 днів тому

    i have an idea to make it in nested loop but i cannot implement it

  • @akhilab9715
    @akhilab9715 17 днів тому

    i have followed the same method , buy during optimization i am getting an error like "optim1 failed to simulate" . what is the view for doing optimization, currently i have selected emModel view ,

  • @gyanaranjan3268
    @gyanaranjan3268 18 днів тому

    What about layoffs in intel ?

  • @shamsherlykhanpathan7489
    @shamsherlykhanpathan7489 18 днів тому

    dude in the case statement we have to mention whether the condition is true or not...but y to declare them in bits 1'b1???? 1 means true and 0 means false ...so do we need to mention those true 1 and false 0 in bits always??????

  • @varadpatil369
    @varadpatil369 19 днів тому

    plz upload the next video

  • @chuphojaPrakhar
    @chuphojaPrakhar 22 дні тому

    sir i started this hdlbits thing but when i submit code it shows error "Status: Internal error. Unknown runtest exit status 25 Unknown runtest exit status 25. This shouldn't happen." how can i get rid of this please tell? and thank you for taking such initiative :)

  • @RajnandiniPatil-u7h
    @RajnandiniPatil-u7h 22 дні тому

    Thanks

  • @amitavapathak-bu4oz
    @amitavapathak-bu4oz 23 дні тому

    How could I contact you?

  • @shamsherlykhanpathan7489
    @shamsherlykhanpathan7489 24 дні тому

    y always top module should be used as the name of the module ,,,, cant we keep our names bro???

  • @VersatileVishnu
    @VersatileVishnu 25 днів тому

    Hi bruh now I'm in my final year of ECE. For AIML PYTHON IDLE VS CODE Microsoft Azure AWS TensorFlow Analysis of data set collection and training the dataset module file. For VLSI design automation tools, simulation tools, verification tools, layout tools, testing tools, DFM tools, software development tools, high-level synthesis tools, and IP cores. 1. Electronic Design Automation (EDA) software 2. Cadence EDA tools 3. Synopsys EDA tools. 2 to 3 years I want to use so give me a best latest for me brother. Please tell me as soon as possible.

  • @k.aparna
    @k.aparna 25 днів тому

    For 2009 btech and 2012 mtech can try the vlsi job

  • @yournewmovies6983
    @yournewmovies6983 25 днів тому

    Bro it was were will explanation. Bro how to learn vlsi from basic to advance

  • @asifsir2689
    @asifsir2689 25 днів тому

    module top_module ( input a, b, c, d, e, output [24:0] out ); wire [24:0] top, bottom; assign top = { {5{a}}, {5{b}}, {5{c}}, {5{d}}, {5{e}} }; assign bottom = {5{a,b,c,d,e}}; assign out = ~top ^ bottom; // Bitwise XNOR // This could be done on one line: // assign out = ~{ {5{a}}, {5{b}}, {5{c}}, {5{d}}, {5{e}} } ^ {5{a,b,c,d,e}}; endmodule

  • @ManojDhamel-s1c
    @ManojDhamel-s1c 26 днів тому

    Can BCA graduates go in vlsi engineer domain.

  • @jakesasquincy5679
    @jakesasquincy5679 26 днів тому

    62415 Trantow Street

  • @asifsir2689
    @asifsir2689 27 днів тому

    module top_module( input [2:0] a, input [2:0] b, output [2:0] out_or_bitwise, output out_or_logical, output [5:0] out_not ); assign out_or_bitwise = a | b; assign out_or_logical = a || b; assign out_not[2:0] = ~a; // Part-select on left side is o. assign out_not[5:3] = ~b; //Assigning to [5:3] does not conflict with [2:0] endmodule

  • @gauravkumar-ff8gu
    @gauravkumar-ff8gu 27 днів тому

    Woke girl spotted 😂

  • @asifsir2689
    @asifsir2689 Місяць тому

    For wire4 problem. module top_module( input a,b,c, output w,x,y,z ); assign {w,x,y,z} = {a,b,b,c}; endmodule

  • @Abhinav-db1qu
    @Abhinav-db1qu Місяць тому

    Are you on insta ?

  • @DEVIL10987
    @DEVIL10987 Місяць тому

    bhaiya We want video on vlsi training vs GATE

  • @naveennayak8365
    @naveennayak8365 Місяць тому

    At time 0 clk=0 en=0 a=0 b=0 At time 2 clk=1 en=0 a=0 b=0 At time 3 clk=0 en=1 a=0 b=0 At time 4 clk=1 en=1 a=1 b=1 At time 5 clk=0 en=0 a=0 b=1 At time 6 clk=1 en=0 a=0 b=1 At time 8 clk=0 en=0 a=0 b=1 because module top(); reg clk, en, a, b; initial begin clk = 1'b0; en = 1'b0; a = 1'b0; b = 1'b0; // Fixed $monitor formatting $monitor("At time %d clk=%b en=%b a=%b b=%b", $time, clk, en, a, b); #3 en = 1'b1; // Enable 'en' after 3 time units #5 en = 1'b0; // Disable 'en' after 5 time units $finish; end always #2 clk = ~clk; // Toggle clock every 2 time units always @(en) a = clk; // Assign 'a' the value of 'clk' whenever 'en' changes always begin wait (en) #1 b = clk; // After 'en' is set, wait 1 time unit and assign 'b' the value of 'clk' end endmodule

  • @OmManekar-t9y
    @OmManekar-t9y Місяць тому

    I think we need to consider out is an reg type

  • @renukak9138
    @renukak9138 Місяць тому

    answer is D

  • @Prashantuppar707
    @Prashantuppar707 Місяць тому

    Bro , need support to build skills for become a VLSI design engineer 😢🙏

  • @MS_riderz.47
    @MS_riderz.47 Місяць тому

    Bro i am getting Electronic with specialization of VLSI Design and technology in NMIT college bengaluru which is comes under top 15 colleges in Karnataka. Should i join this branch

  • @MS_riderz.47
    @MS_riderz.47 Місяць тому

    Bro i am getting Electronic with specialization of VLSI Design and technology in NMIT college bengaluru which is comes under top 15 in Karnataka. Should i join this branch

  • @SHREYAMUKHERJEE-zu9kf
    @SHREYAMUKHERJEE-zu9kf Місяць тому

    answer d

  • @renukak9138
    @renukak9138 Місяць тому

    net will notstore, it is just change its state when we give an input, reg will store or hold the value untill we give next

  • @harshpujare
    @harshpujare Місяць тому

    this is the best tutorial for magic and basic digital design layout i've seen on youtube, thank you so much!

  • @onlineofffersandearnings
    @onlineofffersandearnings Місяць тому

    Give me some vlsi startup names

  • @SAhellenLily
    @SAhellenLily Місяць тому

    Thank you

  • @x_sparky_x4691
    @x_sparky_x4691 Місяць тому

    is it important to do m tech if i apply foe job and get exp as a b tech i guess it will work fine for me.

  • @SAhellenLily
    @SAhellenLily Місяць тому

    Thank you ❤

  • @robertcanberkozturk7725
    @robertcanberkozturk7725 Місяць тому

    Thank you sir!