ARISNA Official
ARISNA Official
  • 38
  • 18 804
INGET KA MANTAN (Mak Oces & Widha) Pakaulan pak ketu
Dalam rangka memperingati HUT RI ke 79,
kp. cileuweung RT 01 RW 19,
terimakasih kepada semua pihak terkait, para tokoh masyarakat, pemuda pemudi, semua atas terselenggaranya acara tersebut. allhamdulilah berjalan dengan lancar tertib dan sukses ..
terimakasih juga kepada semua Baraya @arisnaofficial8865 .. kalian memang luaarrrr biasaa ☺️☺️☺️
Переглядів: 789

Відео

SEKECEWA ITU Voc. Mak Oces & Widha (kop sekop enakeun)
Переглядів 71914 днів тому
dalam rangka memperingati HUT RI ke 79 kp. cileuweung RT 01 RW 19, pokonyamah haturnuhun sadayana pihak terkait NU tos nyukseskeun acara , gebyaaarrr ..
BAJU LORENG Bajidor cover voc. widha & Mak oces (HUT RI KE 79)
Переглядів 73914 днів тому
dalam rangka memperingati HUT RI ke 79, kp.Babakan Cileuweung , terimakasih kepada semua panitia , pemuda pemudi, warga dan pihak terkait lainnya atas sukses terselenggaranya acara Milangkala HUT RI ke 79, semoga tetap bisa memberikan kreativitas dan inovasi lainya di tahun2 berikutnya , BRAVOOOOO 👍👍👍 terimakasih semuanya 🤗🤗 @arisnaofficial8865 #KEBAB01(Keluarga Babakan) #ARISNASOUNDAUDIO
live dangdut medley Mpok N'cun & Nury ( mawur saweran )
Переглядів 2232 місяці тому
acara walimatulkhitan & Gusaran Putra putri Bpk Iwan & Ibu Iis (09 Juli 2024) , lokasi di kp. cileuweung RT 03 RW 19 kelurahan Cipageran kecamatan Cimahi Utara kota Cimahi. ADE BOGEG ENTERTAINMENT live shoot Arisna OfficiaL Project . Live Audio : ARISNA SOUND SYSTEM jangan lupa untuk Like komen dan subscribe ya Baraya .. haturnuhun. ☺️☺️☺️
Malam (voc. Riska & Ineu ) acara walimatunikah Siska & Ardiansyah
Переглядів 6543 місяці тому
live Dangdut ARISNA MUSIC PRODUCTION, Acara pernikahan Siska & Ardiansyah ( 09 Juni 2024) kp. cileuweung RT 01/19 kelurahan Cipageran kecamatan Cimahi Utara kota Cimahi, support by: ARISNA SOUND SYSTEM, GRIMONIA wedding, Athar project, bagi yang membutuhkan bisa via WhatsApp di; 08986438680 (Rizwan) jangan lupa untuk Like komen dan subscribe..☺️ selamat menonton..☺️☺️ terimakasih.
PEMUDA IDAMAN sawer ti panganten (voc. Widha, Riska, Ineu)
Переглядів 2,2 тис.3 місяці тому
Live Dangdut ARISNA Audio MUSIC PRODUCTION.. acara walimatunikah Siska & Ardiansyah (09 Juni 2024). kp.cileuweung RT 01/19 kelurahan Cipageran kecamatan Cimahi Utara kota Cimahi. support by : ARISNA SOUND SYSTEM, GRIMONIA wedding, Athar project, Albi Nada, untuk yg membutuhkan , bisa via WhatsApp di : 08986438680 (Rizwan) jangan lupa untuk Like komen dan subscribe yaa ☺️☺️ selamat menonton.. se...
KEMBANG GADUNG voc. Mpok N'cun ( keseruan jadi Bu Hajah )
Переглядів 2344 місяці тому
Live Dangdut acara pernikahan neng Cinta & Sasa Lukita, Putri dari Bpk. Odang & Ibu Ani, bertempat di kp. Cileuweung RT 01/19 Kel Cipageran kec Cimahi Utara kota Cimahi, @Arisnasoundproduction. phone/WhatsApp; 08986438680 , jasa hiburan pop Sunda,dangdut,sound system,dll. jangan lupa untuk Like komen dan subscribe ya .. ☺️
BULEUD_AJ Entertainment with ARISNA Sound Project Live Cipanas KBB 29 Oktober 2023
Переглядів 23810 місяців тому
jangan lupa untuk selalu like share comen baraaya.. haturnuhun sadayana ..
KALAH MATERI ( voc. Ayang Janet & Dian ) Live Cipanas Bongkok Desa. Padaasih Kec. Cisarua.
Переглядів 1,3 тис.10 місяців тому
dalam rangka acara walimatul khitan 29 0ktober 2023 , live kp. Cipanas Bongkok RT 01/07 Desa. Padaasih Kec. Cisarua..
Doc. Makan liwet bersama ( memperingati HUT RI ke 78 ) part 2 , Kp. CILEUWEUNG
Переглядів 299Рік тому
Dokumentasi acara makan liwet bersama satu Rt. demi mempererat tali silaturahmi persaudaraan dan kebersamaan.. di lanjut dengan acara memperingati HUT RI ke 78.. jangan lupa untuk selalu like share comen baraaya 🤗🤗 haturnuhun..
Ceramah KH. Ahmad salimul apip (Walimatunikah) live kp. Cileuweung 12 juli 2023
Переглядів 3,5 тис.Рік тому
acara Walimatunikah putri kedua dari bpk anda dan ibu Noneng ( Culiawati & Pradana kesa) , kp. Babakan cileuweung RT 01 RW 19 kelurahan Cipageran kecamatan Cimahi Utara kota Cimahi.
TERLALU SAYANG Voc. Fitri & Lia ( live kp. CIPEUSING KBB )
Переглядів 439Рік тому
dalam rangka acara Walimatunikah Kp cipeusing RT 05 /Rw 12 DS Jambudipa Kec cisarua belakang SPN Atas nama : BPK Atang /ibu neng . Sabtu, 20 mei 2023 keyboard style @yusupkofficiaL111 kendang @ipan video shoot @arisnaofficial8865 tong hilap like share and coment channel di atas Baraya . ☝️☝️☝️ haturnuhun.
Acara NGARAS & SIRAMAN Pernikahan ( mengandung Bawang )
Переглядів 510Рік тому
acara siraman pernikahan Wina & apek putri kedua dari bpk. Engkos Koswara & Ibu. Ucu lokasi di kp. Babakan cileuweung RT 01 RW 19 kelurahan Cipageran kecamatan Cimahi Utara kota Cimahi. di iringi dengan live music kecapi suling AMBARWATI Entertainment dan support audio with ARISNA sound system. jangan lupa untuk selalu like share and coment..🤗 terimakasih..
Kebersamaan Maulid nabi Muhammad Saw di mesjid AL FAZAR 2022
Переглядів 134Рік тому
Kebersamaan Maulid nabi Muhammad Saw di mesjid AL FAZAR 2022
via jalan setapak menuju bakal wana wisata Lamping Kuring.. (aset Lembur)
Переглядів 90Рік тому
via jalan setapak menuju bakal wana wisata Lamping Kuring.. (aset Lembur)
rujak gedang mentah (metis) kriuk pedas manis ,, mantap ..
Переглядів 76Рік тому
rujak gedang mentah (metis) kriuk pedas manis ,, mantap ..
Bakar UBI ( Kuliner di kebun ) pada malam hari..asli kareueut ..
Переглядів 124Рік тому
Bakar UBI ( Kuliner di kebun ) pada malam hari..asli kareueut ..
Apapun kegiatannya ,liliwetan kita !! ( 1 hari 2x ) maknyoosss...
Переглядів 3052 роки тому
Apapun kegiatannya ,liliwetan kita !! ( 1 hari 2x ) maknyoosss...
Ngabibita kaum Adam ( NGOPI ) pass di waktu pagi..
Переглядів 1332 роки тому
Ngabibita kaum Adam ( NGOPI ) pass di waktu pagi..
edukasi Lahiran SAPI ( indukan jenis FH peranakan valey ) momen yg jarang terjadi.
Переглядів 592 роки тому
edukasi Lahiran SAPI ( indukan jenis FH peranakan valey ) momen yg jarang terjadi.
Proses wana wisata LAMPING KURING (Dadakan liliwetan Babarengan) ngamajuken pilemburan.
Переглядів 2042 роки тому
Proses wana wisata LAMPING KURING (Dadakan liliwetan Babarengan) ngamajuken pilemburan.
Ujang Darso_Live perform 18/07/22 Lagu BOBOGOHAN (Hujan saweran ku panganten) take by ARISNA SOUND.
Переглядів 8872 роки тому
Ujang Darso_Live perform 18/07/22 Lagu BOBOGOHAN (Hujan saweran ku panganten) take by ARISNA SOUND.
Healingnya kita di hari raya ke Waterboom @CIKAOPARK Purwakarta Jawa barat
Переглядів 1342 роки тому
Healingnya kita di hari raya ke Waterboom @CIKAOPARK Purwakarta Jawa barat
MEDLEY SHOLAWAT. ( keseruan melantunkan shalawat nabi )
Переглядів 342 роки тому
MEDLEY SHOLAWAT. ( keseruan melantunkan shalawat nabi )
Dua Lalaki _ joged jaipong vs music badjidor ..
Переглядів 1162 роки тому
Dua Lalaki _ joged jaipong vs music badjidor ..
Nista (Bajidor) INKA nada with ARISNA SOUND. joged Santai 🤗
Переглядів 932 роки тому
Nista (Bajidor) INKA nada with ARISNA SOUND. joged Santai 🤗
PATEPANG SONO_Kliningan kacapi ( sangking merdunya ,ema2 terbawa suasana 😂 )
Переглядів 542 роки тому
PATEPANG SONO_Kliningan kacapi ( sangking merdunya ,ema2 terbawa suasana 😂 )

КОМЕНТАРІ

  • @GotikGorit
    @GotikGorit Рік тому

    komen

  • @GotikGorit
    @GotikGorit Рік тому

    like dulu

  • @GotikGorit
    @GotikGorit Рік тому

    siaran langsung ua-cam.com/users/liveckMeuFBheu8?si=b3zT-ibTb3XzfAhZ

  • @babakanchannel1755
    @babakanchannel1755 Рік тому

    Mantapp🔥

  • @jeribernade755
    @jeribernade755 Рік тому

    Ajib ..seru cuy selamat HUT RI ke 78 #salam_kompak #Cimahi_ngahiji

  • @raazahraaa000
    @raazahraaa000 Рік тому

    Seru seru hebattt👍👍

  • @raazahraaa000
    @raazahraaa000 Рік тому

    Ngiring bangga dari tetangga sebelah

    • @arisnaofficial8865
      @arisnaofficial8865 Рік тому

      Haturnuhun.. sing Aya Dina kamarajengan kangge sadayana 💪💪

  • @asefngatrok5505
    @asefngatrok5505 Рік тому

    Cilembu

  • @taryatkercip1652
    @taryatkercip1652 2 роки тому

    Mantap liwet nya... tapi bagus nya ngambil video nya agak jauhan.

    • @arisnaofficial8865
      @arisnaofficial8865 2 роки тому

      Siaapp ,, terimakasih atas masukannya.. Itu soalnya saya juga ,video nya sambil ikut makan .. 😅 insyaallah kedepannya lebih baik lagi,

  • @iinintan5824
    @iinintan5824 2 роки тому

    Mantapp👍

  • @asefngatrok5505
    @asefngatrok5505 2 роки тому

    Mantep euy👍

  • @asefngatrok5505
    @asefngatrok5505 2 роки тому

    Mantep pisan👍

  • @Ajaach05
    @Ajaach05 2 роки тому

    Mantab

  • @tototodiana1218
    @tototodiana1218 2 роки тому

    Asalamualaikum wr.wb kami warga Rt 01 Rw 19 selaku tuan rumah pelaksanaan kegiatan dalam memperingati HUT RI Ke 77 mengucapkan Terima kasih kepada semua warga rw19 dan juga semua pihak yang sudah ikut berpartisipasi dalam mensukseskan acara HUT RI tahun ini..semoga kebersamaan, gotong royong,kerja sama..selalu terjalin terus di RW 19 sesuai dengan moto bapa RW 19 CILEUWEUNG BERSATU CILEUWEUNG MAJU Mohon maaf jika dalam penyelenggaraan HUT RI yang di adakan di Rt 01 banyak sekali kekurangan nya..Terima kasih Asalamualaikum wr.wb

    • @arisnaofficial8865
      @arisnaofficial8865 2 роки тому

      Waalaikumsalam wr.wb , Hatur nuhun komentar na pak RT .. Pokokna ku sadaya pengurus terus aping, di jaring ,seer sharing , insyaallah kampung manjing ,, Dengan BERSATU' CILEUWEUNG PASTI MAJU.. 💪💪

  • @agungtarsa7095
    @agungtarsa7095 4 роки тому

    Mantap a iwan