НТЦ Метротек
НТЦ Метротек
  • 4
  • 62 140
Лекция №4 "Пример законченного FPGA-проекта"
Добро пожаловать на курс лекций "FPGA для начинающих".
На четвёртой лекции мы научимся создавать проект в среде Quartus, разберёмся с временными ограничениями FPGA и запустим написанную на SystemVerilog игру "N-back" на отладочной плате DE0-Nano.
План лекции:
- Процесс создания и сборки проекта в Quartus
- Временные характеристики схемы
- Временные ограничения (Timing Constraints)
- Временной анализатор в Quartus (Timing Analyzer)
- Настройки проекта Quartus, назначение пинов
- Собираем и отлаживаем в SignalTap проект игры "N-back"
Слайды:
github.com/stcmtk/fpga-webinar-2020/blob/master/Lecture_4_slides.pdf
Проект, рассмотренный на лекции:
github.com/stcmtk/fpga-webinar-2020/tree/master/project
Вебинар-версия лекции с вопросами/ответами в комментариях и живым общением после лекции на Twitch:
www.twitch.tv/videos/626690626
Страница курса:
metrotek.center/fpga-webinar.html
Переглядів: 6 198

Відео

Лекция №3 "Языки описания аппаратуры, часть 2"
Переглядів 7 тис.4 роки тому
Добро пожаловать на курс лекций "FPGA для начинающих". На третьей лекции мы более подробно поговорим про язык SystemVerilog. А также научимся писать базовые RTL-модули. План лекции: - Разбор синтезируемых конструкций SystemVerilog - Примеры модулей (мультиплексор, демультиплексор, выделитель фронта, RAM, FIFO, FSM) - Основные несинтезируемые конструкции SystemVerilog (работа со временем, функци...
Лекция №2 "Языки описания аппаратуры, часть 1"
Переглядів 14 тис.4 роки тому
Добро пожаловать на курс лекций "FPGA для начинающих". На второй лекции мы поговорим про языки описания аппаратуры, рассмотрим их плюсы и минусы, и начнем разбираться в одном из таких языков SystemVerilog. План лекции: - Обзор HDL/HLS языков - Знакомство с SystemVerilog - Изучаем как писать код для FPGA - Учимся описывать регистры и комбинационную логику - Разбираем простое тестовое окружение д...
Лекция №1 "Что умеют FPGA и зачем они нужны?"
Переглядів 35 тис.4 роки тому
Добро пожаловать на курс лекций "FPGA для начинающих". На первой лекции курса мы осветим области применения FPGA и начнем знакомиться с внутренней архитектурой. План лекции: - Что такое FPGA? - Преимущества и недостатки FPGA в сравнении с CPU/GPU/ASIC - В каких областях используется? - Какие вендоры есть на рынке? - Введение в архитектуру FPGA В конце лекции мы дадим полезные советы по самостоя...

КОМЕНТАРІ

  • @MihaiTeSla
    @MihaiTeSla 28 днів тому

    12:56 Дорогостоящую микросхему FPGA запрограммировали так что он стал обычным дешевым ШИМ контроллером для импульсника 🤣 Кто понял этот юмор и поспал на лекции ставь лайк.

  • @RockPANDOR
    @RockPANDOR 3 місяці тому

    Здравствуйте! А есть видео, как подключить плис, какой купить программатор, какой проводок куда воткнуть и т д?

  • @АлександрЦибизов-к1ъ

    Много лишнего. Мало дела.

  • @ЖекаСпиридонов
    @ЖекаСпиридонов 4 місяці тому

    И так на 12:38 появляется схема от компьютерного блока питания(вторички, выпрямитель, ДГС и LM339 с выходным фильтром обрезаны), только вместо TL494 надпись FPGA, дороговато для ШИМ контроллера!

    • @MihaiTeSla
      @MihaiTeSla 28 днів тому

      🤣🤣 я тоже это заметил, это был РОФЛ?

  • @b213videoz
    @b213videoz 5 місяців тому

    35:05 Дядя, єто не просто побитовое или а именно что reduction operation. Множество бит на входе между собой ORятся и на вьl ходе 1 бит получается. Но тьl так бойко єто все зовешь "побитовьlй OR". Normal bitwise OR produces the same number of output bits as its input bits.

  • @b213videoz
    @b213videoz 5 місяців тому

    28:41 xepня там написана: data_i помечено как output, должно вьlдать ошибку, usage и само название говорит о том что єто input.

  • @OPKECTPAHT
    @OPKECTPAHT 8 місяців тому

    1:01:50 1:02:37 так ПИКОсекунд или НАНОсекунд?

    • @b213videoz
      @b213videoz 5 місяців тому

      У автора чëpтова куча мелких ляпов НО все равно єто лучшие обучалки среди рунета

  • @СофияБондарь-с6е

    в университете не могла понять темы с регистрами, а с вашей лекцией всё поняла, спасибо большое!!

    • @madmax2872
      @madmax2872 11 місяців тому

      регистры это в загсе там всех регистрируют

    • @sergbo3114
      @sergbo3114 6 місяців тому

      Вопрос зачем оно вам нужно знать)

  • @konstantinkonstantin1689
    @konstantinkonstantin1689 Рік тому

    Молодец, полезная информация, спасибо.

  • @ПётрГригорьев-т1ь

    Спасибо за лекцию! Большая нудятина.

  • @ARLIAMANJHO
    @ARLIAMANJHO Рік тому

    Максимке бы поучиться говорить более понятным языком и меньше грузить лишней информацией. Ато собрал всё в кучу

    • @eduonline4624
      @eduonline4624 10 місяців тому

      более понятным на кухне и в крузаке говорят

  • @barabucho
    @barabucho Рік тому

    Отличный материал для знакомства,спасибо.

  • @sergbo3114
    @sergbo3114 Рік тому

    Че еще за клок) Ну есть тактовый сигнал. Тактирование. Тактовый вход. Нет блин клок)

  • @101picofarad
    @101picofarad Рік тому

    Количество мусорной информации на высоте! Разработчик фпга не мог такой текст написать ) Зачем говорить то, что и так очевидно и о том, о чем вы не будете рассказывать??? Для таких докладов идеально - писать сценарий и давать его почитать другим людям, лучше тем, кто не в теме тоже. И слушать как они это друг другу читают - духота вылезет сразу! Извините за некропост, если что.

  • @KhasanovMukhiddin
    @KhasanovMukhiddin Рік тому

    удалите это видео нас деражат в заложниках

  • @stm32Lab
    @stm32Lab Рік тому

    Начал изучать ПЛИС, интересует технология SDR приёмников, ЦОС, и всё остальное, на что ПЛИСы способны)

    • @barabucho
      @barabucho Рік тому

      По ЦОС,SDR в отношении ПЛИС,удалось найти полный материал?

    • @stm32Lab
      @stm32Lab Рік тому

      @@barabucho пока нашёл только про раадио передачу CW, ещё не пробовал. Ну и проект марсоход всем известный)

    • @barabucho
      @barabucho Рік тому

      @@stm32Lab Как ролик называется? Что бы освоить плис в отношении ЦОС и SDR имеет смысл платные курсы искать или найти инженера с частными занятиями?

  • @The2427666
    @The2427666 Рік тому

    Максимум душноты, пришлось открывать форточку 😁👍

  • @radio-86rk
    @radio-86rk Рік тому

    8:58. получается, собрать RS-триггер на двух элементах "2И-НЕ" нельзя?

  • @viacheslav3889
    @viacheslav3889 Рік тому

    Для начинающих огонь!главное параллельно изучать книги и статьи в интернете ну и естественно пробовать проектировать самому. Спасибо за лекцию!

  • @viacheslav3889
    @viacheslav3889 Рік тому

    Отличная лекция! Спасибо за образовательный подход!

  • @ernstuzhansky
    @ernstuzhansky Рік тому

    Классная лекция. Благодарю.

  • @alexchameleon8497
    @alexchameleon8497 Рік тому

    У меня такой вопрос - есть ли в FPGA эволюция? Например единица производительности ЦП 50 лет назад стоила скажем 1000$, а сейчас стоит 0.00000001$ и общая производительность вросла с миллионы раз. Так что на счёт FPGA?

    • @101picofarad
      @101picofarad Рік тому

      Единица производительности связана с технологической нормой - чем она меньше - тем лучше - все как у ЦП. Обычно плис решают те задачи, для которых цп и дсп не подходят или цена устройства не играет роли, а возможность добавить новые аппаратные блоки в готовое устройство через 5..10 лет - игрет.

  • @ВладимирТопоровский-е6ж

    А что значит научиться работать с симулятором?

    • @МаксимТолкачев-н7б
      @МаксимТолкачев-н7б Рік тому

      Добрый день! Основной посыл этого пункта в списке "План самостоятельного знакомства c ПЛИС" в том, что вам не нужна плата, пока вы хоть в какой-то степени не освоились с симулятором. Работа с симулятором, это: * Копиляция и сборка исходников * Написание тестовых воздействий с помощью несинтезируемых конструкций * Использование разнообразных способов анализа схем. Начиная от простого анализа глазами временных диаграмм и выводом по заданным условиям сообщений в log, и заканчивая сложными, разнесенными во времени условиями (SystemVerilog Assertions) и автоматическим отслеживанием всех драйверов определенного сигнала в заданный момент времени.

    • @dmitrijbozhok
      @dmitrijbozhok Рік тому

      @@МаксимТолкачев-н7б спасибо за ответ

  • @nerdomania24
    @nerdomania24 Рік тому

    Ну и что теперь делать с этой войной?

  • @ГрадиентПотенциалов

    На 17:27 для in имелось в виду значение f2, а не f1?

    • @МаксимТолкачев-н7б
      @МаксимТолкачев-н7б Рік тому

      Да, спасибо большое за замечание! Действительно там должно быть f2, а не f1.

  • @mikebountain
    @mikebountain 2 роки тому

    Весьма толково и насыщено.

  • @НикЗиг-у4э
    @НикЗиг-у4э 2 роки тому

    Здравствуйте! А как называется специальность/дисциплина (код специальности о высшем образовании по ГОСТ), где ваши лекции являются фундаментальными и базовыми для изучения, чтобы получить диплом о высшем образовании?

    • @МаксимТолкачев-н7б
      @МаксимТолкачев-н7б 2 роки тому

      Добрый день! Разработка под FPGA слишком узкое понятие, чтобы являться целой специальностью или дисциплиной. В ВУЗах с FPGA/ПЛИС могут познакомить в рамках направлений: * 09.03.01 -- Информатика и вычислительная техника * 11.00.00 -- Электроника, радиотехника и системы связи * 11.03.02 -- Инфокоммуникационные технологии и системы связи * 11.03.03 -- Конструирование и технология электронных средств * 11.03.04 -- Электроника и наноэлектроника И скорее всего многих других. Но, насколько я понимаю, могут и не познакомить или упомянуть вскользь. Все зависит от конкретного ВУЗа.

  • @АлексейАндреевич-ж2ж

    Очень годно, руки чешутся заказать себе борду с алишки, хочу запустить LSU блок от лазерного принтера, там нужно разворачивать пиксельную строчку с большой частотой. С помощью МК не могу решить не хватает скорости.

  • @alexanderpopov8325
    @alexanderpopov8325 2 роки тому

    Большое спасибо, Максим. У вас, явно, способности к преподаванию. Чёткая и системная подача материала. Хорошая речь. Удачи!

  • @rayspyphone
    @rayspyphone 2 роки тому

    Здравствуйте, подскажите плиз - Альтера поддерживает OpenCl, есть тестовые платы для PCI. Если программа написана для работы с видеокартой на OpenCl означает ли это что она практически без изменений будет работать с FPGA от Altera?

  • @rvrss7192
    @rvrss7192 2 роки тому

    Ну все блин иду строить радар суб-миллиметрового разрешения на терагерцевом процессоре с тактовым периодом в одну пикосекунду, ага.

  • @golyakoff
    @golyakoff 2 роки тому

    Больше спасибо, бесценный материал!

  • @АбуЗаррФарадей
    @АбуЗаррФарадей 2 роки тому

    толковее было бы выбрать linux. на винду и так много инмтрукций

  • @ИркутскийБоярышник

    Очень крутые, понятные лекции.

  • @photonchik3200
    @photonchik3200 3 роки тому

    Скажите, пожалуйста, нет ли ошибки на 50 минуте на временной диаграмме сигнала g? Кажется, он должен принимать значение логической единицы на один период после прихода 3его фронта тактирующего сигнала.

    • @МаксимТолкачев-н7б
      @МаксимТолкачев-н7б 2 роки тому

      Добрый день! Спасибо большое за замечание, да, действительно, на слайде ошибка: сигнал g после значения "x" должен на 1 такт быть в значении "1" и только после этого перейти в "0". Соответственно и сигнал result_o тоже: после "x" на 1 такт в значении "1" и после в "0".

  • @Nikitos9
    @Nikitos9 3 роки тому

    будет обзор, сравнение и тд по проекту LLHD?

  • @АлександрРак-ш3ф
    @АлександрРак-ш3ф 3 роки тому

    Здравствуйте, на 58 минуте в assign a_tmp блокирующие присваивание, это не ошибка?

    • @МаксимТолкачев-н7б
      @МаксимТолкачев-н7б 3 роки тому

      Добрый день! Нет, все написано правильно, ошибки в этом месте нет. Конструкция assign не может быть использована с неблокирующим присваиванием.

    • @АлександрРак-ш3ф
      @АлександрРак-ш3ф 3 роки тому

      @@МаксимТолкачев-н7б спасибо!

  • @АнатолийДеревко
    @АнатолийДеревко 3 роки тому

    Не плохо, мне нравится, но вот бы таймкоды были бы;)

  • @Yuvvan
    @Yuvvan 3 роки тому

    Спасибо!

  • @MrAllimp
    @MrAllimp 3 роки тому

    Очень сложно. И это для начинающих?

  • @HeavenPaladin
    @HeavenPaladin 3 роки тому

    Не понятно было про какой сайт сказали в конце лекции в последнем слайде. Названия сайта нет на слайде :(

  • @masteroogway7283
    @masteroogway7283 3 роки тому

    Нихрена не понял, но очень интересно

  • @jsonslim
    @jsonslim 3 роки тому

    Отлично рассказываете, спасибо!

  • @likag.105
    @likag.105 3 роки тому

    Очень понравилось, как Максим ведет лекцию. Спасибо, что выкладываете видео)

  • @kazakhstanovich5863
    @kazakhstanovich5863 3 роки тому

    Перспективная технология в целом???

    • @МаксимТолкачев-н7б
      @МаксимТолкачев-н7б 3 роки тому

      Добрый день! Я бы сказал скорее стабильная. Исходя из тенденций, которые я вижу, взрывного роста числа проектов с использования FPGA не ожидается в ближайшее время, но и отказываться от них никто не собирается. Технологий "убийц FPGA" пока не видно. Это инструмент, у которого есть своя ниша и из-за того, что ниша не очень большая инструмент развивается медленно. То есть такого как в web нет, когда за год может появится много разных технологий и если не успеть их изучить, можно выпасть из конкуренции.

  • @alexandrkobzev898
    @alexandrkobzev898 3 роки тому

    ВЗПП-С не может производить плис, как понятно из названия (сборка) , они их, возможно, только собирают в корпус, этого я не могу точно сказать. Но у них нет кристального производства от слова совсем. Я бы предположил, что плис делают в соседнем с ВЗПП-С НИИЭТ или может на ВЗПП-Микрон, но когда я там работал, ничего про плис не слышал. Скорее всего ближе всего к плис КТЦ Электроника, они находятся в одном здании с ВЗПП-С.

  • @ВасилийТеркин-ж7л3м

    на 48 мин очень непонятно. слово РЕГИСТР не к месту... Речь о комбинационной логике, о послед/параллел соединении Лог Элементов - ну и нарисовать схемы, параллельного или последовательного преобразования...?

  • @pacckat
    @pacckat 3 роки тому

    Я не понял следующего момента, почему при использования параметра во входных данных нужно вычитать единицу? Или это сделано только для демонстрации?

    • @pacckat
      @pacckat 3 роки тому

      Ан нет, понял, это же указание разрядности, а первый разряд это 0, поэтому приходится вычитать единицы ))

  • @pacckat
    @pacckat 3 роки тому

    Спасибо большое за лекцию! Очень насыщенное видео оказалось, приходится несколько раз смотреть. Когда первый раз смотрел, то осилил только 50 минут, а последние 10 минут стал досматривать на следующий день, слишком много нового оказалось для меня, голова просто отказывалась воспринимать информацию ))

    • @b213videoz
      @b213videoz 5 місяців тому

      Чего так? Одно из лучших обьяснений что можно найти, все четко и ясно

  • @pacckat
    @pacckat 3 роки тому

    Классная лекция! Спасибо!