VLSI Point
VLSI Point
  • 131
  • 1 499 209
VLSI Design Engineer Profile | How to Become a Fronted Design Engineer?
In this video, we explore the career path of a VLSI Frontend Design Engineer. Discover the scope, salary potential, growth opportunities, and detailed roles and responsibilities in semiconductor design. Whether you're a student aiming to enter the field or a professional considering a career change, gain essential insights into this dynamic industry. Learn how to navigate the journey towards becoming a successful VLSI Frontend Design Engineer today!
How to make Resume for Core Electronics Placement ? Resume for Freshers & Experienced Candidates
ua-cam.com/video/v5qdWctU_H8/v-deo.html
Checkout the following Playlists:
VLSI Placement Season
ua-cam.com/play/PL_3xKnVkfI2hcT4DPA7ez9zhZH_8s3uki.html
All About VLSI
ua-cam.com/play/PL_3xKnVkfI2iQnYEXPGoHd3In0F-3SZL5.html
Verilog Interview questions
ua-cam.com/play/PL_3xKnVkfI2jjzXnEQffAHxVPQFCQyvB3.html
FPGA Based System Design in English
ua-cam.com/play/PL_3xKnVkfI2iusWOR-6xI-BeAcGUP-LMf.html
Verilog complete tutorial in English
ua-cam.com/play/PL_3xKnVkfI2itQhCyfnamNYSCHd2KHi4k.html
Verilog complete tutorial in Hindi
ua-cam.com/play/PL_3xKnVkfI2hB9gBEsv5QGB4LRscGAQl9.html
Profile Links:
Telegram : t.me/vlsipoint
Instagram : i_shweta__k?igsh=MXBnMHR2ZncxOTA3cg==
#vlsijobs #ece #vlsidesignengineersalary
Переглядів: 2 745

Відео

How to Apply for Internships in VLSI domain | For ECE B.Tech and M.Tech Students
Переглядів 12 тис.21 день тому
No more struggle.....here is a detailed roadmap of how you can apply for VLSI internships. In this video, I will tell you how ECE B.Tech and M.Tech students can apply for internships including the subjects to study, tools to learn, and different platforms to apply for it. How to make Resume for Core Electronics Placement ? Resume for Freshers & Experienced Candidates ua-cam.com/video/v5qdWctU_H...
VLSI Roadmap | How to Start Career in VLSI? ECE Complete Guidance
Переглядів 21 тис.Місяць тому
The Very Large Scale Integration (VLSI) industry is a cornerstone of modern electronics, driving advancements in technology and innovation. As an aspiring engineer or enthusiast, understanding the VLSI roadmap is crucial for navigating this dynamic field. This guide delves into the VLSI roadmap, highlighting key milestones, emerging trends, and essential skills to stay ahead in this rapidly evo...
VLSI Physical Design Detailed Roadmap | Analog Design Career | VLSI POINT
Переглядів 5 тис.Місяць тому
VLSI physical design is a crucial aspect of integrated circuit (IC) development, focusing on converting circuit schematics into physical layouts that meet performance, power, and area constraints. From a career perspective, VLSI physical design expertise is highly sought after in the semiconductor industry. It offers a dynamic career path with opportunities for professional growth and significa...
Best NPTEL Swayam Courses for VLSI Industry | NPTEL Swayam July-Dec 2024 Course Registration
Переглядів 12 тис.Місяць тому
NPTEL Swayam Website swayam.gov.in/nc_details/NPTEL July 2024 Timelines docs.google.com/document/d/e/2PACX-1vTtyC9oouBc0M9o7nLVTTqwWha-Qh8O8TbRUVfvGRg4nSSe483tUt-zn-0MxeMvJg/pub Tentative Course List (July - Dec 2024) docs.google.com/spreadsheets/d/e/2PACX-1vSPMVrWoo0ZsAac7v1Cxx5bZ4qFUVTRpc5hjGr-krusAZcY_M70guTum2z7S2SmdsbpmgD7WbL_3aNU/pubhtml Analog Electronic Circuits - IITKGP - Course Low Vo...
Verilog in One Shot | Verilog for beginners in English
Переглядів 4,4 тис.2 місяці тому
Dive into Verilog programming with our intensive 1-shot video lecture, designed for beginners! In this concise series, you'll grasp Verilog syntax, module instantiation, behavioral modeling, and more. 🛠️ Get hands-on with real-world examples and practical exercises to solidify your understanding. 💡 Join our Telegram group for more discussion and get some outstanding materials for exams and inte...
Verilog in One Shot | Verilog for beginners in Hindi
Переглядів 3,2 тис.2 місяці тому
Verilog in One Shot | Verilog for beginners in Hindi
SystemVerilog OOP Class & Objects | #8 | SystemVerilog in Hindi | VLSI POINT
Переглядів 3462 місяці тому
SystemVerilog OOP Class & Objects | #8 | SystemVerilog in Hindi | VLSI POINT
SystemVerilog Object Oriented Programming | #7 | SystemVerilog in Hindi | VLSI POINT
Переглядів 3713 місяці тому
SystemVerilog Object Oriented Programming | #7 | SystemVerilog in Hindi | VLSI POINT
SystemVerilog Interfaces in Hindi | #6 | SystemVerilog in Hindi | VLSI POINT
Переглядів 4103 місяці тому
SystemVerilog Interfaces in Hindi | #6 | SystemVerilog in Hindi | VLSI POINT
SystemVerilog Loops & Threads in Hindi | #5 | SystemVerilog in Hindi | VLSI POINT
Переглядів 4433 місяці тому
SystemVerilog Loops & Threads in Hindi | #5 | SystemVerilog in Hindi | VLSI POINT
SystemVerilog memories in Hindi | #4 | SystemVerilog in Hindi | VLSI POINT
Переглядів 6584 місяці тому
SystemVerilog memories in Hindi | #4 | SystemVerilog in Hindi | VLSI POINT
Datatypes in SystemVerilog | #3 | SystemVerilog in Hindi | VLSI POINT
Переглядів 6344 місяці тому
Datatypes in SystemVerilog | #3 | SystemVerilog in Hindi | VLSI POINT
SystemVerilog Testbench Components in Hindi | #2 | SystemVerilog in Hindi | VLSI POINT
Переглядів 1 тис.4 місяці тому
SystemVerilog Testbench Components in Hindi | #2 | SystemVerilog in Hindi | VLSI POINT
SystemVerilog OOP Classes & Objects in English | #8 | SystemVerilog in English | VLSI POINT
Переглядів 9474 місяці тому
SystemVerilog OOP Classes & Objects in English | #8 | SystemVerilog in English | VLSI POINT
SystemVerilog Object Oriented Programming in English | #7 | SystemVerilog in English | VLSI POINT
Переглядів 1,1 тис.5 місяців тому
SystemVerilog Object Oriented Programming in English | #7 | SystemVerilog in English | VLSI POINT
SystemVerilog Interfaces in English | #6 | SystemVerilog in English | VLSI POINT
Переглядів 1,9 тис.5 місяців тому
SystemVerilog Interfaces in English | #6 | SystemVerilog in English | VLSI POINT
SystemVerilog Loops & Threads in English | #5 | SystemVerilog in English | VLSI POINT
Переглядів 1,7 тис.5 місяців тому
SystemVerilog Loops & Threads in English | #5 | SystemVerilog in English | VLSI POINT
SystemVerilog Arrays in English | #4 | SystemVerilog in English | VLSI POINT
Переглядів 1,7 тис.6 місяців тому
SystemVerilog Arrays in English | #4 | SystemVerilog in English | VLSI POINT
SystemVerilog Data Types in English | #3 | SystemVerilog in English | VLSI POINT
Переглядів 2,8 тис.6 місяців тому
SystemVerilog Data Types in English | #3 | SystemVerilog in English | VLSI POINT
SystemVerilog Testbench Components in English | #2 | SystemVerilog in English | VLSI POINT
Переглядів 3,3 тис.6 місяців тому
SystemVerilog Testbench Components in English | #2 | SystemVerilog in English | VLSI POINT
Introduction to SystemVerilog in English | #1 | SystemVerilog in English | VLSI POINT
Переглядів 6 тис.6 місяців тому
Introduction to SystemVerilog in English | #1 | SystemVerilog in English | VLSI POINT
Introduction to SystemVerilog | #1 | SystemVerilog in Hindi | VLSI POINT
Переглядів 4,3 тис.7 місяців тому
Introduction to SystemVerilog | #1 | SystemVerilog in Hindi | VLSI POINT
10 Major Mistakes You Must Avoid During A Job Interview | Interview Tips | ECE | VLSI
Переглядів 1,5 тис.7 місяців тому
10 Major Mistakes You Must Avoid During A Job Interview | Interview Tips | ECE | VLSI
Top 5 Coursera Courses for ECE Students | Coursera Certification Courses
Переглядів 21 тис.7 місяців тому
Top 5 Coursera Courses for ECE Students | Coursera Certification Courses
ECE 3 months placement strategy | VLSI Placement Guide
Переглядів 4,7 тис.8 місяців тому
ECE 3 months placement strategy | VLSI Placement Guide
How to Crack Off-Campus Placement in VLSI ? Pro Tips to Apply for Off-Campus Jobs
Переглядів 6 тис.8 місяців тому
How to Crack Off-Campus Placement in VLSI ? Pro Tips to Apply for Off-Campus Jobs
Top High Paying Job Profiles in VLSI | Career Scope after ECE Engineering
Переглядів 8 тис.8 місяців тому
Top High Paying Job Profiles in VLSI | Career Scope after ECE Engineering
VLSI Backend Detailed Explanation | Backend VLSI Design Flow
Переглядів 7 тис.9 місяців тому
VLSI Backend Detailed Explanation | Backend VLSI Design Flow
How much Coding is Required for Core VLSI Placement? ECE Placement Guide
Переглядів 11 тис.9 місяців тому
How much Coding is Required for Core VLSI Placement? ECE Placement Guide

КОМЕНТАРІ

  • @pallavirajesh-yi8sl
    @pallavirajesh-yi8sl 9 годин тому

    That you so much,easily understanding

  • @akshitarya9352
    @akshitarya9352 10 годин тому

    How to get job in VLSI domain

  • @LoluruMounika-sx5lx
    @LoluruMounika-sx5lx 14 годин тому

    Mam,iam studying btech 2nd year , am i elligible to apply intership in vlsi domain,i have basic knowledge about vlsi

  • @HappyDent-l6f
    @HappyDent-l6f 15 годин тому

    C programming ??

  • @venkat0536
    @venkat0536 15 годин тому

    In my mind's gate exam there , but vlsi is also an interest which side I'm choosing mam

  • @Cricket_yt-videos
    @Cricket_yt-videos 16 годин тому

    Thank you didi

  • @rishabhyadav2134
    @rishabhyadav2134 21 годину тому

    yes we want guidance mam

  • @rishabhyadav2134
    @rishabhyadav2134 22 години тому

    i am a ece student pls make more video like that mam we really need this

  • @priyadarshansatyam2853
    @priyadarshansatyam2853 День тому

    Right mam

  • @koushiksen720
    @koushiksen720 День тому

    mam aap se contact karna cha ta hu

  • @Yashwant_Chavan
    @Yashwant_Chavan День тому

    Definitely 💯

  • @editing4369
    @editing4369 День тому

    you explanation is nice when i saw your English videos. I dont know Hindi . can you please take same topic in english. ☺ (as my opnion can you please take majority videos in english, i think everyone will understand it because the only english video i heard without subtitile is yours.😅 ,because iam weak in english too,but not like hindi🥲🙂)

  • @indgamer8767
    @indgamer8767 День тому

    Mam im in my final year so please tell me how can i get job in vlsi company

  • @Yashwant_Chavan
    @Yashwant_Chavan День тому

    Congratulations mam for recently compled 22.2k subscribers ❤ please keep guide us for those students who are focused about VLSI. Thank you and congratulations again 🎉

    • @vlsipoint
      @vlsipoint День тому

      Thank you so much Yashwant! Videos will be uploaded regularly, stay tuned.

  • @Yashwant_Chavan
    @Yashwant_Chavan День тому

    Thank you mam!

  • @Yashwant_Chavan
    @Yashwant_Chavan День тому

    Hello mam I don't understand the 'include "my task".v" file at 6:50 task calling, the "my task file"is not present in simple task. please reply soon as possible 🙏

  • @dhdjsaapd8974
    @dhdjsaapd8974 День тому

    Mam please put videos in english

    • @vlsipoint
      @vlsipoint День тому

      yes....recent videos are in English, please check out that channel.

  • @koushiksen720
    @koushiksen720 2 дні тому

    Mam mai btech optics and opto electronics se kar raha hu kya mai vlsi job k liye eligible hu?

  • @editing4369
    @editing4369 2 дні тому

    madam, is any scope in future when i take electronics and vlsi? because i heard that some companies in india deciding to make some cities of india to another silcon valley in future (also i want to know is it is good more than CS engineering)😇

    • @vlsipoint
      @vlsipoint День тому

      The VLSI industry is growing in India; as a result, more job openings will be there. Choosing between CS and VLSI depends on your interest, both fields are good and have high salary packages based on your skills.

    • @editing4369
      @editing4369 День тому

      @@vlsipointooo,thanks. Iam weak in art,drawing (design) is it impact me?. also,can you please say which are the physics factors that comes in vlsi other than semi conductor , capacitor ,etc. iam just , just above average in physics. but also some of the term like, phase ,SHM,also some terms in semiconductor like diffusion,displacement current i did't uderstand correctly . is it any problem to take electronics and vlsi.

  • @chinthangu929
    @chinthangu929 2 дні тому

    Love you ❤

  • @AbdulWahid-qm7mp
    @AbdulWahid-qm7mp 2 дні тому

    Mam for reading part you write one condition that if( write _en && (write_ptr==rd_ptr)) Then full_reg <=1 ...can u plz elaborate how for write_ptr==read_ptr case full become 1 ? It supposed to be not eql ...

  • @chinthangu929
    @chinthangu929 2 дні тому

    u r looking beautiful, I love you 😊

  • @visheshtiwari4265
    @visheshtiwari4265 2 дні тому

    Please make a video on LinkedIn profile

  • @shitalbhadre5729
    @shitalbhadre5729 3 дні тому

    VLSI project

  • @Yashwant_Chavan
    @Yashwant_Chavan 3 дні тому

    One of the best playlist on youtube for verilog.❤

  • @venkateshmamidi7782
    @venkateshmamidi7782 3 дні тому

    Good information about vlsi job roles, please keep doing!🙏. I am in confusion, where to start vlsi field. After watching videos, like this i am getting clarity, thank you 🙏.

  • @koushiksen9754
    @koushiksen9754 4 дні тому

    mam mai btech optics and optoelctronics se kar raha hu mera vlsi knowleadge agar sahi raha to vlsi k liye eligible hu nh....mere branch ki baja se koi reject to nhi kar dega nh?

    • @vlsipoint
      @vlsipoint 3 дні тому

      Probably No, in elective subjects try to choose VLSI-related subjects

    • @koushiksen9754
      @koushiksen9754 2 дні тому

      @@vlsipoint mera curriculum ece k almost equivalent hi hai ...koi dikkat to nahi hoga nh?

  • @nallappagamingchannel9986
    @nallappagamingchannel9986 4 дні тому

    Before,I didn't know the content for vlsi.Very very Thanks for this content help me a lot❤️ maam

  • @sakshisawant8166
    @sakshisawant8166 4 дні тому

    Ma'am please make videos on mini projects in VLSI.

  • @Afiyakausar-ki2pg
    @Afiyakausar-ki2pg 4 дні тому

    please make a very detailed video on VlSI mini and major projects to start

  • @p.kamakshiprasad7415
    @p.kamakshiprasad7415 4 дні тому

    Hlo mam I am pk prasad at present I am betch 3 years could you please tell me the step by step syllabus ( oder wise concept ) in vlsi backend 🙏🏻 I am so confused could you please make a video on it and please suggest the material book mam 🙏🏻..!

    • @vlsipoint
      @vlsipoint День тому

      Sure I'll make a separate video, for now you can watch this video: VLSI Physical Design Detailed Roadmap | Analog Design Career | VLSI POINT ua-cam.com/video/nAdZGH0Xb6w/v-deo.html

  • @Yashwant_Chavan
    @Yashwant_Chavan 4 дні тому

    Which company are you currently in?

    • @vlsipoint
      @vlsipoint День тому

      Resigned from NXP, currently doing research for ministry of electronics & Information Technology along with PhD from IIT Dhanbad

  • @Yashwant_Chavan
    @Yashwant_Chavan 4 дні тому

    Helpful mam 👍

  • @beasthitesh902
    @beasthitesh902 4 дні тому

    which software should i use to code in verilog?? ma'am please answer

    • @vlsipoint
      @vlsipoint 4 дні тому

      Modelsim, Xilinx Vivado or EDA playground

    • @beasthitesh902
      @beasthitesh902 4 дні тому

      @@vlsipoint thank you

  • @user-oh5ek8ub9t
    @user-oh5ek8ub9t 5 днів тому

    Do a video about design verification role

  • @I_amtheone
    @I_amtheone 5 днів тому

    Ma'am I'm a 23 passedout Undergraduate. I have a trained in RTL design and verification role. Should i look for freshers job or should i look for paid/unpaid internship from the companies and gain experience?

  • @life_need_24
    @life_need_24 5 днів тому

    Madam can you plz do video on NIT raipur Mtech in VLSI , placements etc

    • @vlsipoint
      @vlsipoint 4 дні тому

      Okay, at NIT Raipur you need to focus more on off-campus placement for core electronics companies. They provide good placement for the Software side, but for VLSI on-campus opportunities are less.

  • @venkat0536
    @venkat0536 6 днів тому

    I'm very interested in VLSI for physical design , which course I'm enrolled in in the nptel course

    • @vlsipoint
      @vlsipoint 4 дні тому

      Physical Design by Prof. Indranil Sengupta, IIT KGP

    • @venkat0536
      @venkat0536 4 дні тому

      @@vlsipoint thanks for your conformation im starting that cource and provide more information about physical design , and next semister training period there tell me some where im doing training for vlsi please

  • @mithukarmakar8868
    @mithukarmakar8868 6 днів тому

    Ma'am, I am a 2nd year engineering student. Will vlsi be suitable for me?

    • @vlsipoint
      @vlsipoint 6 днів тому

      yes, depending upon your interest. The future scope is good...

  • @MONTY_EVENTS
    @MONTY_EVENTS 6 днів тому

    Thanku mam ❤

  • @LoluruMounika-sx5lx
    @LoluruMounika-sx5lx 6 днів тому

    Is there any difference between doing a course in nptel coordinator or Aicet or iimb or cec and which is best and value

    • @vlsipoint
      @vlsipoint День тому

      in NPTEL you'll get technical courses related to engineering however in IIMs and Aicet you'll get general courses

    • @LoluruMounika-sx5lx
      @LoluruMounika-sx5lx День тому

      Thank you

  • @Johncenahuh
    @Johncenahuh 6 днів тому

    Are vlsi engineers jobless

    • @vlsipoint
      @vlsipoint День тому

      No, VLSI industry is growing in India and this field has a bright future.

  • @priyadarshansatyam2853
    @priyadarshansatyam2853 6 днів тому

    great informative video

  • @Yashwant_Chavan
    @Yashwant_Chavan 6 днів тому

    Here 3:27 statement result not understand

  • @venkat0536
    @venkat0536 7 днів тому

    Im currently studying final year, and im better knowing in digital electronics, but im interested in VLSI physical design, is there any internships or related projects..? Tell me

  • @pragyaagrahari6697
    @pragyaagrahari6697 7 днів тому

    very useful video ma'am...thankyou

  • @pragyaagrahari6697
    @pragyaagrahari6697 7 днів тому

    ma'am I'm in third year btech ece program now...pls. tell me roadmap for grabbing an internship with stipend in third year....what are the skills required for that...kindly reply

    • @vlsipoint
      @vlsipoint 7 днів тому

      Please check out my VLSI Placement Guide playlist, there I have explained all the required skills in detail

  • @nikitasharma7209
    @nikitasharma7209 7 днів тому

    what about java

    • @vlsipoint
      @vlsipoint 7 днів тому

      Not required for ECE guys